完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
本人初学,想学习用modelsim,可是今天调了一下午也没调出来,大家帮帮忙呗
module test(clk,out); input clk; output reg[7:0] out; reg[24:0] count; always @ (posedge clk) begin if(out == 0)out = 1; if(count==25000000)//25MHz begin count <= 0; if(out == 8'b1000_0000)out = 8'b0000_0001; else out = out << 1; end else begin count <= count + 1; end end endmodule 测试文件为 `timescale 1ns/1ps module testtop; reg clk,reset; wire [7:0] out; parameter period = 40; test top(.clk(clk),.out(out)); initial begin clk = 0; reset = 0; #10000; reset = 1; forever #(period/2) clk=~clk; end endmodule |
|
相关推荐
3个回答
|
|
quartus 11.0 和 modelsim 6.5可以在一起用
如何在Quartus_II_11.0中调用ModelSim-Altera_6.5e.doc
(254 KB, 下载次数: 19
)
ModelSim ALTERA 6.5b 下载安装以及使用.pdf
(509.36 KB, 下载次数: 33
)
|
|
|
|
本帖最后由 youzizhile 于 2014-4-26 15:57 编辑
可以一起使用, 你的仿真终止时间太短了,也就是说,你的仿真时间是产生不了25000000个计数值的,在加长仿真时间,或者是改变clk的周期值。现在的仿真中在1ns中只有25个clk,修改为`timescale 10ms/1ps |
|
|
|
可以使用的哦,用NativeLink可以直接调用Modelsim仿真的。楼主仿真文件时钟应该写在initial外面吧,始终是有的
评分
|
||
|
||
你正在撰写答案
如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。
1421 浏览 1 评论
1215 浏览 0 评论
矩阵4x4个按键,如何把识别结果按编号01-16(十进制)显示在两个七段数码管上?
1426 浏览 0 评论
913 浏览 0 评论
2229 浏览 0 评论
1427 浏览 34 评论
5612 浏览 113 评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-22 08:54 , Processed in 0.616213 second(s), Total 86, Slave 61 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号