完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
嗨,
使用SRAM读取数据时遇到问题,SRAM通过EMIFA接口连接到FPGA。 FPGA和DSP通过EMIFA连接,SRAM CY7C1069连接到FPGA。 问题是DSP可以在开始时正确读取数据。 但过了一会儿,emif的数据不会改变。 我看到OE和WE信号都很低。 每一刻都不一样。 有时是4分钟,有时是1分钟,也可能是30分钟。 您可以在下面看到的代码,您可以帮我解释原因吗? fv_delay:fd端口映射(c => clk,d => fv,q => fv_r); process(clk,rst)start if if(rst ='0')then sram_ce1 sram_ce2 sram_oe sram_we_temp sram_addr_temp'0'); DSP_Rd_En wr_state emif_ed'Z'); cnt_sram_full elsif rising_edge(clk)then case wr_state is idle => sram_ce1 sram_ce2 sram_oe sram_we_temp DSP_Rd_En sram_addr_temp'0'); cnt_sram_full emif_ed'Z'); if(DSP_Ack =“10”)然后wr_state否则wr_state结束if; 当fv_valid =>如果fv_r ='0'且fv ='1'则wr_state else wr_state结束if; 当lv_valid => sram_oe如果fv ='1'那么如果lv ='1'那么sram_we_temp sram_addr_temp sram_addr sram_data_temp else sram_we_temp sram_addr_temp end if; 万一; 如果fv_r ='1'且fv ='0'则wr_state结束if; 当wr_end => sram_we_temp sram_addr'0'); DSP_Rd_En wr_state当emif_read1 => sram_we_temp if(emif_oe ='0')和(emif_ce ='0')然后--emif读取数据sram_oe sram_we_temp emif_ed(7 downto 0)sram_addr(19 downto 0)sram_addr(20)else emif_ed' Z'); 万一; if(DSP_Ack =“01”)然后wr_state DSP_Rd_En结束if; 当emif_read2 => sram_we_temp如果cnt_sram_full =“10101010”则DSP_Rd_En否则cnt_sram_full结束if; 如果(emif_oe ='0')和(emif_ce ='0')则--emif读取数据sram_oe sram_we_temp emif_ed(7 downto 0)sram_addr(19 downto 0)sram_addr(20)else emif_ed'Z'); 万一; if(DSP_Ack =“00”)然后wr_state emif_ed'Z'); 万一; 结束案例; 万一; 结束过程; |
|
相关推荐
3个回答
|
|
没有缩进,很难读取这段代码。
但是,您看到的症状的最常见原因是FSM已锁定。 如果使用单热编码并且存在可以控制状态变化的任何异步输入,则这尤其容易发生。 解决这个问题的方法是确保任何外部信号在被FSM使用之前至少经过一个触发器。 快速查看这可能是您的问题的方法是在HDL设置下将FSM编码的XST设置更改为“user”,将实现更改为“safe”。 如果问题消失或需要更长时间,则可能是FSM异步输入的情况。 - Gabor 在原帖中查看解决方案 |
|
|
|
没有缩进,很难读取这段代码。
但是,您看到的症状的最常见原因是FSM已锁定。 如果使用单热编码并且存在可以控制状态变化的任何异步输入,则这尤其容易发生。 解决这个问题的方法是确保任何外部信号在被FSM使用之前至少经过一个触发器。 快速查看这可能是您的问题的方法是在HDL设置下将FSM编码的XST设置更改为“user”,将实现更改为“safe”。 如果问题消失或需要更长时间,则可能是FSM异步输入的情况。 - Gabor |
|
|
|
问题已在你的帮助下解决了。非常感谢你。
|
|
|
|
只有小组成员才能发言,加入小组>>
2383 浏览 7 评论
2800 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2263 浏览 9 评论
3336 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2430 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
756浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
546浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
366浏览 1评论
1964浏览 0评论
683浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-23 18:05 , Processed in 1.151692 second(s), Total 52, Slave 45 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号