完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
你好。
我懂一点英语。 126pin生成10us周期时,我无法保存corect inputdata。 我的代码: 库IEEE;使用IEEE.STD_LOGIC_1164.ALL;使用IEEE.STD_LOGIC_ARITH.ALL;使用IEEE.STD_LOGIC_UNSIGNED.ALL;实体lop是端口(clk:在STD_LOGIC中; o:out std_logic_vector(0到125); - 10us周期t: out std_logic; - 检查corect保存数据int2:在std_logic中; - 保存数据a:在std_logic_vector(7 downto 0)); - 结束lop中的8位数据;体系结构lop的行为是------ - - - - - - - 信号 - - - - - - - - - - - - - - - - - - --- signal qq:std_logic_vector(0到125);信号tim:整数范围0到10:= 0; ----------------- array ------- -------------------------类型ramm是std_logic_vector的数组(0到4063)(7 downto 0);共享变量ram:ramm; - - - - - - - - - - 保存数据 - - - - - - - - - - - - - - - beginprocess(int2)变量cnt:整数范围0到4063:= 0; beginif(rising_edge(int2))然后ram(cnt):= a; cnt:= cnt + 1;结束if;结束进程; -------------输出10us ---------------------- ------ process(clk)beginif(clk'event和clk ='1')thentim if tim = 10 then qq end if if; end if; end process; o ----------- ------------检查数据------------------------------------ 倾向于行为; 帮我PLZ。 以上来自于谷歌翻译 以下为原文 Hi. I understand a little english. I can't save corect input data when 126pin generate 10us cycle. my code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity lop is Port ( clk : in STD_LOGIC; o : out std_logic_vector(0 to 125);--10us cycle t : out std_logic;--check for corect save data int2 : in std_logic;--save data a: in std_logic_vector(7 downto 0));--8 bit data in end lop; architecture Behavioral of lop is --------------------signal-------------------------------------- signal qq:std_logic_vector(0 to 125); signal tim:integer range 0 to 10:=0; -----------------array-------------------------------- type ramm is array(0 to 4063)of std_logic_vector(7 downto 0); shared variable ram:ramm; --------------------save data------------------------------ begin process(int2) variable cnt:integer range 0 to 4063:=0; begin if(rising_edge(int2))then ram(cnt):=a; cnt:=cnt+1; end if; end process; -------------output 10us---------------------------- process(clk) begin if(clk' event and clk='1')then tim<=tim+1; if tim=10 then qq<=not qq; end if; end if; end process; o<=qq; -----------------------check data------------------------------------ t<='1' when "11111111"=ram(4063) else'0';--check for corect data end Behavioral;help me plz. |
|
相关推荐
12个回答
|
|
什么FPGA?哪个版本的ISE?您对模拟或综合感兴趣吗?
------------------------------------------“如果它不起作用 模拟,它不会在板上工作。“ 以上来自于谷歌翻译 以下为原文 What FPGA? Which version of ISE? Are you interested in simulation or synthesis? ------------------------------------------ "If it don't work in simulation, it won't work on the board." |
|
|
|
感谢快速回复。
xc3s400-4pq208。 是12.4。 没有。 以上来自于谷歌翻译 以下为原文 Thanks to quick response. xc3s400-4pq208. ise 12.4. no. |
|
|
|
无论是模拟还是综合?你为什么要这样做?
------------------------------------------“如果它不起作用 模拟,它不会在板上工作。“ 以上来自于谷歌翻译 以下为原文 Neither simulation nor synthesis? Why are you doing it? ------------------------------------------ "If it don't work in simulation, it won't work on the board." |
|
|
|
很难模拟,因为它正在从输入接收数据。
对于pwm。 以上来自于谷歌翻译 以下为原文 It is difficult to simulate because it is receiving data from the input. for pwm. |
|
|
|
在您成为专家之前,最好使用CoreGen的“块内存生成器”或“分布式内存生成器”工具,而不是根据帖子中的代码推断内存。
------------------------------------------“如果它不起作用 模拟,它不会在板上工作。“ 以上来自于谷歌翻译 以下为原文 Until you are an expert, it is better to use the CoreGen 'Block Memory Generator' or 'Distributed Memory Generator' tool than to infer memory as the code in your post tries to. ------------------------------------------ "If it don't work in simulation, it won't work on the board." |
|
|
|
|
|
|
|
|
|
|
|
我无法理解你的问题,主要是因为语言差异。
此外,此问题描述不完整,并且对于有用的响应而言过于模糊。 126pin生成10us周期时,我无法保存corect inputdata。 提出的建议是使用Block Memory Coregen工具来实例化你的内存缓冲区。 这为您的设计提供了确定性的参考点。 如果使用生成的内存核心,那么任何剩余的问题都可以更容易地与其余逻辑隔离。 - 鲍勃埃尔金德 签名:新手的自述文件在这里:http://forums.xilinx.com/t5/New-Users-Forum/README-first-Help-for-new-users/td-p/219369总结:1。 阅读手册或用户指南。 你读过手册了吗? 你能找到手册吗?2。 搜索论坛(并搜索网页)以寻找类似的主题。 不要在多个论坛上发布相同的问题。 不要在别人的主题上发布新主题或问题,开始新的主题!5。 学生:复制代码与学习设计不同.6“它不起作用”不是一个可以回答的问题。 提供有用的详细信息(请与网页,数据表链接).7。 您的代码中的评论不需要支付额外费用。 我没有支付论坛帖子的费用。 如果我写一篇好文章,那么我一无所获。 以上来自于谷歌翻译 以下为原文 I'm having trouble understanding your problem, mostly because of language differences. Also, this problem description is incomplete, and too vague for a useful response. I can't save corect input data when 126pin generate 10us cycle. The suggestion which was made was to use the Block Memory Coregen tool to instantiate your memory buffer. This provides a reference point of certainty in your design. If you use the generated memory core, then any remaining problems are simpler to isolate to the remaining logic. -- Bob Elkind SIGNATURE: README for newbies is here: http://forums.xilinx.com/t5/New-Users-Forum/README-first-Help-for-new-users/td-p/219369 Summary: 1. Read the manual or user guide. Have you read the manual? Can you find the manual? 2. Search the forums (and search the web) for similar topics. 3. Do not post the same question on multiple forums. 4. Do not post a new topic or question on someone else's thread, start a new thread! 5. Students: Copying code is not the same as learning to design. 6 "It does not work" is not a question which can be answered. Provide useful details (with webpage, datasheet links, please). 7. You are not charged extra fees for comments in your code. 8. I am not paid for forum posts. If I write a good post, then I have been good for nothing. |
|
|
|
抱歉,我的英语不好。
当126输出pingenerate 100kh频率时,我无法存储datain ram。 以上来自于谷歌翻译 以下为原文 Sorry.my English is bad. I can't store data in ram when 126 output pin generate 100kh frequence . |
|
|
|
如果您可以尝试核心生成器,这将有所帮助。
如果您可以模拟您的设计并发布模拟跟踪,那也会有所帮助。 - 鲍勃埃尔金德 签名:新手的自述文件在这里:http://forums.xilinx.com/t5/New-Users-Forum/README-first-Help-for-new-users/td-p/219369总结:1。 阅读手册或用户指南。 你读过手册了吗? 你能找到手册吗?2。 搜索论坛(并搜索网页)以寻找类似的主题。 不要在多个论坛上发布相同的问题。 不要在别人的主题上发布新主题或问题,开始新的主题!5。 学生:复制代码与学习设计不同.6“它不起作用”不是一个可以回答的问题。 提供有用的详细信息(请与网页,数据表链接).7。 您的代码中的评论不需要支付额外费用。 我没有支付论坛帖子的费用。 如果我写一篇好文章,那么我一无所获。 以上来自于谷歌翻译 以下为原文 If you could try the core generator that would help. If you could simulate your design, and post the simulation trace, that would also help. -- Bob Elkind SIGNATURE: README for newbies is here: http://forums.xilinx.com/t5/New-Users-Forum/README-first-Help-for-new-users/td-p/219369 Summary: 1. Read the manual or user guide. Have you read the manual? Can you find the manual? 2. Search the forums (and search the web) for similar topics. 3. Do not post the same question on multiple forums. 4. Do not post a new topic or question on someone else's thread, start a new thread! 5. Students: Copying code is not the same as learning to design. 6 "It does not work" is not a question which can be answered. Provide useful details (with webpage, datasheet links, please). 7. You are not charged extra fees for comments in your code. 8. I am not paid for forum posts. If I write a good post, then I have been good for nothing. |
|
|
|
抱歉,我的英语不好。
当126输出pingenerate 100kh频率时,我无法存储datain ram。 谢谢。 以上来自于谷歌翻译 以下为原文 Sorry.my English is bad. I can't store data in ram when 126 output pin generate 100kh frequence . thank you. |
|
|
|
抱歉,我的英语不好。
当126输出pingenerate 100kh频率时,我无法存储datain ram。 谢谢。 以上来自于谷歌翻译 以下为原文 Sorry.my English is bad. I can't store data in ram when 126 output pin generate 100kh frequence . thank you. |
|
|
|
只有小组成员才能发言,加入小组>>
2396 浏览 7 评论
2811 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2278 浏览 9 评论
3356 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2445 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
784浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
558浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
406浏览 1评论
1985浏览 0评论
706浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-12-4 16:51 , Processed in 1.575394 second(s), Total 98, Slave 82 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号