完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
什么是转换22位输入相当于8位输出的逻辑。
beacuase我有8 dpdt swithces提供输入。 counter.vhd 3 KB 以上来自于谷歌翻译 以下为原文 what is logic for converting 22 bit input equivalent to 8bit inout. beacuase i have 8 dpdt swithces to give input. counter.vhd 3 KB |
|
相关推荐
3个回答
|
|
不确定你的意思。
你需要截断14位来转换8位。 对于inout,您可以使用8位作为输入,另外8位作为输出。 你仍然有6位截断。 谢谢和RegardsBalkrishan ----------------------------------------------- ---------------------------------------------请将帖子标记为 一个答案“接受为解决方案”,以防它有助于解决您的查询。如果一个帖子引导到解决方案,请给予赞誉。 以上来自于谷歌翻译 以下为原文 not sure what you meant . you need to truncate 14 bits to convert 8 bits. For inout you can use 8 bits as input and another 8 bits as output. You still have 6 bits for truncate.Thanks and Regards Balkrishan -------------------------------------------------------------------------------------------- Please mark the post as an answer "Accept as solution" in case it helped resolve your query. Give kudos in case a post in case it guided to the solution. |
|
|
|
嗨,
这是我的代码。 在这个我不同的时间段和总时间段。 现在的问题是锁定时间段。 当我将改变总时间段时如何在此代码中添加此限定。 我是vhdl的初学者。 我也在使用22输入来改变总时间段,我们可以将其减少8。 library IEEE;使用IEEE.std_logic_1164.all; 使用IEEE.numeric_std.all;使用ieee.std_logic_unsigned.all; - 对于无符号类型 实体计数器是通用的(counter_width:integer:= 22); port(clk,rst:in std_logic; duty:in std_logic_vector(counter_width-1 downto 0); period:in std_logic_vector(counter_width-1 downto 0); pwm:out std_logic); end entity counter; 架构counter_arch of counter开始更新:process(clk,rst)变量count:std_logic_vector(counter_width-1 downto 0); 如果(rst ='1')则开始计数:=“0000000000000000000000”; pwm elsif(clk'event和clk ='1')然后计数:= count + 1; if(count = duty)然后pwm结束if; if(count = period)then count:=“0000000000000000000000”; pwm结束如果; 万一; 结束过程; 结构体系结构counter_arch; 以上来自于谷歌翻译 以下为原文 Hi, This is my code . in this i am varying on time period and total time period. now problem is latch on time time period. when i will vary total time period.how to add this secification in this code. I am beginner in vhdl. I also A s i am using 22 input to vary the total time period how we able reduce it by 8. library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use ieee.std_logic_unsigned.all; -- for the unsigned type entity counter is generic(counter_width: integer:=22); port (clk,rst : in std_logic; duty :in std_logic_vector(counter_width-1 downto 0); period:in std_logic_vector(counter_width-1 downto 0); pwm : out std_logic); end entity counter; architecture counter_arch of counter is begin update: process(clk,rst) variable count : std_logic_vector(counter_width-1 downto 0); begin if(rst='1')then count:= "0000000000000000000000"; pwm <= '1'; elsif(clk'event and clk ='1')then count:= count + 1; if(count = duty)then pwm <='0'; end if; if(count = period)then count:= "0000000000000000000000"; pwm <='1'; end if; end if; end process; end architecture counter_arch; |
|
|
|
shriam_nerkar1写道:
嗨, 这是我的代码。 library IEEE;使用IEEE.std_logic_1164.all; 使用IEEE.numeric_std.all;使用ieee.std_logic_unsigned.all; - 对于无符号类型 没有。 numeric_std库包含无符号类型。 永远不需要使用std_logic_unsigned库。 ----------------------------是的,我这样做是为了谋生。 以上来自于谷歌翻译 以下为原文 shriam_nerkar1 wrote:NO. The numeric_std library includes the unsigned type. There is never a need to use the std_logic_unsigned library. ----------------------------Yes, I do this for a living. |
|
|
|
只有小组成员才能发言,加入小组>>
2380 浏览 7 评论
2797 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2262 浏览 9 评论
3335 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2428 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
756浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
544浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
365浏览 1评论
1962浏览 0评论
681浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-22 16:39 , Processed in 1.252415 second(s), Total 81, Slave 64 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号