发 帖  
[问答]

有关modelsim仿真fft核出现的错误

5642 仿真 FFT
2019-2-26 16:21:08   评论 分享淘帖 邀请回答 举报
2个回答
2019-2-26 17:01:41 2 评论

举报

2 条评论
  • 2019-2-26 17:04

    verilog编写的工程。我是在quartus ii中直接调用modelsim的,RTL simulation

  • 2019-2-26 17:06

    调用modelsim时modelsim自动开始编译。如果整个quartus工程中没有这个fft核 一切正常仿真没有出错。就是一旦加入这个fft核后就不能正常仿真了。

2019-3-1 09:29:02 评论

举报

撰写答案

你正在撰写答案

如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。

您需要登录后才可以回帖 登录/注册

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容图片侵权或者其他问题,请联系本站作侵删。 侵权投诉
快速回复 返回顶部 返回列表
关注微信公众号

电子发烧友网

电子发烧友论坛

社区合作
刘勇
联系电话:15994832713
邮箱地址:liuyong@huaqiu.com
社区管理
elecfans短短
微信:elecfans_666
邮箱:users@huaqiu.com
关闭

站长推荐 上一条 /6 下一条

快速回复 返回顶部 返回列表