发 帖  
经验: 积分:13137
工程师 sda
山西省 大同市 技术支持
  • 发布了文章 2023-5-29 15:44
    Verilog 的设计多采用自上而下的设计方法(top-down)。即先定义顶层模块功能,进而分析要构成顶层模块的必要子模块;...
    0
    1332次阅读
    0条评论
  • 发布了文章 2023-5-29 15:24
    本文是使用频域方法分析电源噪声的一个案例,在观察时域波形无法定位故障时,通过FFT(快速傅立叶变换)方法进行时频转换,将时域电源噪声波形转换到频域进行分析。...
    0
    1033次阅读
    0条评论
  • 发布了文章 2023-5-29 15:21
    大部分无源探头X1档的带宽仅1M,对于噪声来说带宽太低。X10档的带宽为10M,但是X10会放大误差,造成测量值不准确。所以不建议使用无源探头进行噪声测量。...
    0
    1647次阅读
    0条评论
  • 发布了文章 2023-5-29 15:17
    随着超大规模集成电路的发展,芯片工作电压越来越低,而工作速度越来越快,功耗越来越大。...
    0
    1536次阅读
    0条评论
  • 发布了文章 2023-5-29 14:38
    该芯片是具有快速电流保护的原边8脚调制芯片,内部集成了高速振荡器,带有电压前馈功能,可以有效的改善变换器的性能。...
    0
    1394次阅读
    0条评论
  • 发布了文章 2023-5-29 14:30
    在开关开源中,我们会应用到大量的电容器件,每一种电容都有它自己的特性,不会只使用一种类型的电容。...
    0
    883次阅读
    0条评论
  • 发布了文章 2023-5-29 14:26
    例如,已知电阻消耗功率为0.7W,在选择器件的时候,仅仅知道应该选择容量为 1W的电阻是不够的。...
    0
    2024次阅读
    0条评论
  • 发布了文章 2023-5-29 14:09
    物理系统中发生的相变最直观,水结成冰或沸腾成蒸汽。在一定的温度或压力下,系统发生行为的突然变化,从一种相转变为另一种相。转变出现了之前相中没有的新特性,例如冰的晶体结构或蒸汽的气态特性。...
    0
    1051次阅读
    0条评论
  • 发布了文章 2023-5-26 17:40
    Mastercam后处理在开发5轴后处理的时候,始终绕不开的一个就是机器的定义,由于机器的结构种类繁多,各个厂家生产不同结构的5轴机器,那么在开发5轴后处理时,比如要定义机器各轴的结构类型,否则后处理无法正确的计算刀轨。...
    0
    3271次阅读
    0条评论
  • 发布了文章 2023-5-26 17:36
    要将这个module分别例化成u_sub_0和u_sub_1,并且每个都例化四次...
    0
    1792次阅读
    0条评论
  • 发布了文章 2023-5-26 17:33
    多模干涉耦合器 (Multimode Interference coupler, MMI coupler)即利用的是光的自映像原理。...
    0
    5039次阅读
    0条评论
  • 发布了文章 2023-5-26 17:20
    STA的准备工作包括:设定时钟、指定IO时序特性、指定false path和multicycle path...
    0
    925次阅读
    0条评论
  • 发布了文章 2023-5-26 16:59
    光波导与微谐振器之间的耦合正在成为一个重要的研究和发展领域。为了分析波导和谐振器之间的光功率交换,我们考虑了图1的基本几何形状。...
    0
    6931次阅读
    0条评论
  • 发布了文章 2023-5-26 16:56
    单模光纤和光波导直接耦合时二者的模场直径相差较大,耦合效率较低,因此我们需要通过对光波导增加模斑尺寸转换器或者压缩单模光纤的模场直径使得二者模场匹配...
    0
    2545次阅读
    0条评论
  • 发布了文章 2023-5-26 16:47
    带宽通常为示波器的第一指标,也是我们在选择示波器时首要考虑的因素。信号经过探头进入示波器后,首先经过的就是放大器和衰减器,它们决定了示波器的带宽大小,在没有特别说明的情况下我们说的带宽是示波器模拟前端放大器的带宽,也就是-3dB截止点。...
    0
    5193次阅读
    0条评论
ta 的专栏

成就与认可

  • 获得 364 次赞同

    获得 0 次收藏

谁来看过他

关闭

站长推荐 上一条 /6 下一条

返回顶部