发 帖  
经验: 积分:8
电子工程师 华阳
广东省 深圳市 设计开发工程
  • 设计背景: Verilog语法中很多情况都用到了串并转化的思想,旨在与提升运算和芯片运行的速度,串行转并行已经成为设计中不可缺少的一种思维。 设计原理 : 本次的设计主设计一个 8位的串并转化,意思就是当输入8个数据的时候,我们把这8个串行数据转化为8位的并行数据然后输出出来。也就是需要一个8位的寄存器,来一个数据存到对应的位上,然后输出出来就完成了本次设计。 设计架构图 : 设计代码 : 设计模块 0 module study ( clk , rst_n , data , data_out
    晓灰灰
    18103次阅读
    0条评论
ta 的专栏

成就与认可

  • 获得 0 次赞同

    获得 1 次收藏
关闭

站长推荐 上一条 /6 下一条

返回顶部