发 帖  
  • 以秒个位这个计数器为例,这个计数器加1的条件是什么呢?到了1秒就加1。那我们怎么知道1秒钟时间到了呢?FPGA是通过数时钟周期数来确定时间的。例如下图,假设时钟频率是50MHz,即时钟周期是20ns,cnt是每个时钟加1,则当cnt==99时,就说明数了100个时钟周期,也就是时间是100*20=2000ns了。
    电子设计
    5016次阅读
    0条评论
ta 的专栏

成就与认可

  • 获得 0 次赞同

    获得 1 次收藏
关闭

站长推荐 上一条 /6 下一条

返回顶部