发 帖  
yg
经验: 积分:81
硬件工程师 nanovision
四川省 成都市 设计开发工程
  • 0

    硬件工程师
    今天在使用ISE的Isim仿真时发现输出一直为高阻,经过排查发现这种情况是由于仿真器无法全面检查测试模块导致,使用综合器检查即可排查错误。在我的测试模块中,同时使用上升沿和下降沿赋值,在仿真器中通过,而这在 ...
ta 的专栏

成就与认可

  • 获得 0 次赞同

    获得 2 次收藏
关闭

站长推荐 上一条 /6 下一条

返回顶部