发 帖  
经验: 积分:14
广利核
北京市 海淀区 设计开发工程
  • 回答了问题 2013-11-12 14:07

    谁能帮我看看这个错误怎么解决啊

    广利核
    Error (10170): Verilog HDL syntax error at encrypt_engine.v(65) near text "{"; expecting an identifier, or "endmodule", or a parallel statement Error (10170): Verilog HDL syntax error at encrypt_engi ...
ta 的专栏
关闭

站长推荐 上一条 /6 下一条

返回顶部