STM32/STM8技术论坛
直播中

大难不死你说呢

5年用户 4经验值
擅长:嵌入式技术
私信 关注
[问答]

急求教FPGA,Vivado,VHDL的任意大小的矩阵乘法运算

利用Vivado, VHDL实现任意大小的矩阵乘法运算,matlab生成任意的测试数据,将仿真结果与matlab结果进行对比,最终实现全部匹配,紧急求教大神指点,可有chang.

entity IntMatMulCore is port( Reset, Clock, WriteEnable, BufferSel: in std_logic; WriteAddress: in std_logic_vector (9 downto 0); WriteData: in std_logic_vector (15 downto 0); ReadAddress: in std_logic_vector (9 downto 0); ReadEnable: in std_logic; A: in std_logic_vector (4 downto 0); --A的列,B的行 B: in std_logic_vector (4 downto 0); --B的列 C: in std_logic_vector (4 downto 0); --A的行 ReadData: out std_logic_vector (63 downto 0); DataReady: out std_logic ); end IntMatMulCore;
已退回1积分

回帖(1)

李慧

2022-7-9 11:20:14
举报

更多回帖

发帖
×
20
完善资料,
赚取积分