赛灵思
直播中

周杰

7年用户 143经验值
私信 关注
[问答]

无法摆脱有关GMII到RGMII IP的多时间定时警告?

Vivado 2015.4
我似乎无法摆脱有关GMII到RGMII IP的多时间定时警告。
具有多个时钟的寄存器/锁存引脚:
gmii_to_rgmii_0 / U0 / i_gmii_to_rgmii_block / i_bufgmux_gmii_clk / IO severity - HIGH
我包含了Xilinx建议的约束(见下文)。
我没有包含external_clock = 1约束,因为我正在使用其中一个处理器时钟。
我试图注释掉第一个约束,但我仍然收到了相同的警告。
我有什么想法得到这个警告?
create_clock -period 5.000 -name clkin -add [get_nets top_i / gmii_to_rgmii_0 / clkin] create_clock -period 8.000 -name rgmii_rxc -add [get_ports RGMII_rxc]
异步输入的#false路径约束直接来到synchronizerset_false_path -to [get_pins -hier -filter {name =〜* idelayctrl_reset_gen / * reset_sync * / PRE}] set_false_path -to [get_pins -of [get_cells -hier -filter {name =〜
* i_MANAGEMENT / SYNC _ * / data_sync *}] -filter {name =〜* D}] set_false_path -to [get_pins -hier -filter {name =〜* reset_sync * / PRE}]#来自控制寄存器输出的错误路径约束set_false_path -
来自[get_pins -hier -filter {name =〜* i_MANAGEMENT / DUPLEX_MODE_REG * / C}] set_false_path -from [get_pins -hier -filter {name =〜* i_MANAGEMENT / SPEED_SELECtiON_REG * / C}]

回帖(2)

史靖文

2020-8-26 09:52:30
@zoppina:
请记住,计时工具提供警告,而不是错误 - 尽管警告上的“严重性严重”认可。
这种警告源于一种通常不合适的设计技术,可以多路复用时钟。
但是,在这种情况下,设计是正确的 - 并且是实例化必要功能的最佳方式。
7系列器件内部的主要内部时钟缓冲器是BUFGCTRL,它具有内置时钟多路复用功能。
即时警告源于BUFGCTRL的一个输入由另一个BUFGCTRL的输出驱动,该BUFGCTRL本身用于复用两个时钟。
需要两级多路复用操作来选择三个时钟中的一个(2.5 MHz,25 MHz或125 MHz),这是PS上具有三速功能的GMII接口所必需的。
希望这可以帮助,
乔·G
在原帖中查看解决方案
举报

史靖文

2020-8-26 10:04:57
@zoppina:
请记住,计时工具提供警告,而不是错误 - 尽管警告上的“严重性严重”认可。
这种警告源于一种通常不合适的设计技术,可以多路复用时钟。
但是,在这种情况下,设计是正确的 - 并且是实例化必要功能的最佳方式。
7系列器件内部的主要内部时钟缓冲器是BUFGCTRL,它具有内置时钟多路复用功能。
即时警告源于BUFGCTRL的一个输入由另一个BUFGCTRL的输出驱动,该BUFGCTRL本身用于复用两个时钟。
需要两级多路复用操作来选择三个时钟中的一个(2.5 MHz,25 MHz或125 MHz),这是PS上具有三速功能的GMII接口所必需的。
希望这可以帮助,
乔·G
举报

更多回帖

发帖
×
20
完善资料,
赚取积分