赛灵思
直播中

江孟琢

7年用户 215经验值
私信 关注
[问答]

求KC705 ucf文件?

任何人都可以帮助我使用此代码的ucf文件。
我正在使用ilin 14.7
module lfsr(data,out,// counterenable的输出,// counterclk的启用,// clock inputreset // reset input);
// ----------输出端口--------------输出[7:0]输出; // ------------
输入端口--------------输入[7:0]数据;输入使能,clk,复位; // ------------内部变量---
----- reg [7:0] out; wire linear_feedback;
// ------------- Code Starts Here ------- assign linear_feedback =!(out [7] ^ out [3]);
总是@(posedge clk)if(reset)begin // active high reset out end else if(enable)begin out out [4],out [3],out [2],out [1],out [0],
linear_feedback}; end endmodule

回帖(20)

吕钢格

2020-8-18 06:17:34
嗨@ hirailyassahi,
输入信号“数据”保持未连接状态。
如果您不需要,请在端口中对其进行评论。
你的代码应该是这样的:
module lfsr(data,out,// counterenable的输出,// enableclk_p的启用,// clock inputclk_n,reset // reset input); // ----------输出端口----
----------输出[7:0] out; // ------------输入端口--------------输入[
7:0]数据;输入使能,clk_p,clk_n,reset; // ------------内部变量-------- wire clk_in,clk; reg [7:0]
out; wire linear_feedback;
IBUFGDS#(。DIFF_TERM(“FALSE”),//差分终止.IBUF_LOW_PWR(“TRUE”),//低功率=“TRUE”,最高性能=“FALSE”.IOSTANDARD(“DEFAULT”)//指定I
/ O此缓冲区的标准)IBUFGDS_inst(.O(clk),//时钟缓冲输出.I(clk_p),// Diff_p时钟缓冲输入.IB(clk_n)// Diff_n时钟缓冲输入);
// ------------- Code Starts Here ------- assign linear_feedback =!(out [7] ^ out [3]); always @(posedge clk)if(reset
)start // active high resetout end else if if(enable)beginout out [4],out [3],out [2],out [1],out [0],linear_feedback}; end endmodule
为clk_p和clk_n应用引脚约束。
谢谢,Arpan
--------------------------------------------------
--------------------------------------------请注意 - 请注明
如果提供的信息有用,请回答“接受为解决方案”。给予您认为有用并回复导向的帖子。感谢K--
--------------------------------------------------
---------------------
谢谢,Arpan -----------------------------------------------
- - - - - - - - - - - - - - - - - - - - - - - -请注意-
如果提供的信息有用,请将答案标记为“接受为解决方案”。给予您认为有用且回复的帖子。感谢Kudos .--------------------
--------------------------------------------------
------------------------
在原帖中查看解决方案
举报

吕钢格

2020-8-18 06:22:36
嗨@ hirailyassahi,
请仔细阅读以下链接的附录-C(第81页起)
http://www.xilinx.com/support/documentation/boards_and_kits/kc705/ug810_KC705_Eval_Bd.pdf
相应地转换Xilinx ISE的约束。
如果您需要帮助,请告诉我们。
谢谢,Arpan
谢谢,Arpan -----------------------------------------------
- - - - - - - - - - - - - - - - - - - - - - - -请注意-
如果提供的信息有用,请将答案标记为“接受为解决方案”。给予您认为有用且回复的帖子。感谢Kudos .--------------------
--------------------------------------------------
------------------------
举报

王山崎

2020-8-18 06:37:11
您可以使用系统时钟作为时钟,按钮用于复位,拨码开关用于启用,LED用于输出。请参阅上面的链接了解ucf约束。
--------------------------------------------------
---------------------------------------------请将帖子标记为
如果提供的信息能够回答您的问题/解决您的问题,请“接受为解决方案”。给予您认为有用的帖子。
举报

王文霞

2020-8-18 06:54:13
嗨,如果您希望对输入输出引脚进行位置约束,并且您不确定语法,那么简单的方法是在Planahead中打开设计并选择GUI类型作为I / O规划。
在这里,您可以设置引脚约束以及IO标准。-Shreyas
--------------------------------------------------
--------------------------------------------尝试搜索你的答案
在发布新帖子之前在论坛或xilinx用户指南中发出问题。请注意 - 如果提供的信息解决了您的问题,请将答案标记为“接受为解决方案”。给予您认为有用的帖子给予荣誉(右边提供的星号)
并回复.----------------------------------------------
------------------------------------------------
举报

更多回帖

发帖
×
20
完善资料,
赚取积分