赛灵思
直播中

宋艳艳

7年用户 201经验值
私信 关注
[问答]

如何通过6输入LUT进行大比较

只想澄清一下如何通过6输入LUT进行大比较。
每个6输入LUT是比较2对3比特,还是两个LUT比较12比特等。

回帖(5)

杨玲

2020-6-11 06:57:45
我建议在一个非常简单的项目中对比较进行编码,然后进行综合和观察
在技​​术原理图上。
这些将显示LUT如何映射到您的位。
你可以
使位宽成为参数(通用)并尝试不同的宽度以查看它如何影响LUT
用法。
如果您正在进行幅度比较(例如A> B),那么我会期待进位
链是比较器的组成部分,结果逻辑看起来非常相似
加法器(或减法器)。 
您还可能发现LUT的使用在综合工具的版本之间有所不同,包括
适用于V6,S6和7系列的较新(12.x及更高版本)工具中的“新解析器”与旧解析器。

Virtex 5也有6-LUT,我不认为这些工具会自动使用新的解析器,所以你
也可以看到V5和V6实现之间的差异。
- Gabor
- Gabor
举报

曾玲娟

2020-6-11 07:13:31
除适用的用户指南外,您可能会发现这些白皮书很有用:
http://www.xilinx.com/support/documentation/white_papers/wp284.pdf(Virtex-5 FPGA 6输入LUT架构的优点)
http://www.xilinx.com/support/documentation/white_papers/wp248.pdf(Virtex-5 FPGA的重定向指南)
http://www.xilinx.com/support/documentation/white_papers/wp245.pdf(使用Virtex-5系列FPGA实现更高的系统性能)
http://www.xilinx.com/cn/support/documentation/white_papers/wp309.pdf(Spartan-6 FPGA的目标和重定向指南)
顺便说一下,虽然新的XST解析器仅针对V6 / S6 / 7系列(默认情况下)进行了测试,但它可以为旧架构启用:
http://forums.xilinx.com/t5/Synthesis/How-to-enable-the-new-parser-for-XST-in-ISE-12-1/m-p/133272
解析器差异是自12.1以来有2个XST用户指南的原因,例如
http://www.xilinx.com/support/documentation/sw_manuals/xilinx13_4/xst_v6s6.pdf(XST用户指南,适用于Virtex-6,Spartan-6和7系列器件)
http://www.xilinx.com/support/documentation/sw_manuals/xilinx13_4/xst.pdf(Xilinx XST用户指南)
干杯,
BT
举报

孙琪

2020-6-11 07:29:08
非常感谢这些材料。
我原以为XST和ISE会优化代码。
例如,而不是执行以下,失败的时间
(其中A和B是27位向量)
如果A = Bthen
...
万一;
我必须做以下事情
如果A(26下降21)= B(26下降21)和
A(20 downto 16)= B(20 downto 16)和
......等等
万一
在第一种情况下,XST形成一个27位比较器,而在第二种情况下,它形成了一堆6位比较器。
举报

曾玲娟

2020-6-11 07:43:59
您是在查看RTL网表(ngr),技术网表(ngc)还是实施(ncd)?
我希望这可以从RTL网表中根据它的描述...
也就是说,在过去,我不得不帮助引导它达到我想要的性能几次,例如
MUXCY用法。
BT
举报

更多回帖

发帖
×
20
完善资料,
赚取积分