赛灵思
直播中

李新美

7年用户 197经验值
私信 关注
[问答]

如何为AXI总线创建测试平台?

我必须为我的包含AXI总线的项目创建测试平台。
我开始编写用于写入和读取的接口和事务。
我阅读了以下博客:http://blog.verificationgentleman.com/2016/08/testing-uvm-drivers-part-2.html?showComment = 1471877179631#c7809781639091671746
根据这篇博客,界面应该是:
interface vgm_axi_interface(输入位ACLK,输入位ARESETn);
逻辑[3:0] AWID;
逻辑[31:0] AWADDR;
逻辑[3:0] AWLEN;
逻辑AWVALID;
逻辑AWREADY;
逻辑[3:0] WID;
逻辑[31:0] WDATA;
逻辑WLAST;
逻辑WVALID;
逻辑WREADY;
逻辑[3:0] BID;
逻辑[1:0] BRESP;
逻辑BVALID;
逻辑BREADY;
endinterface
那么所有其他信号呢(例如ARBURST,ARLOCK,ARCACHE,ARPROT,ARQOS,ARREGION)?
根据AXI4的规格,还有更多的信号。
另外,事务中的以下属性是否足以进行写入事务?
typedef enum bit [3:0] {LENGTH_ [1:16]} length_e;
class sequence_item extends uvm_sequence_item;
rand bit [3:0] id;
rand bit [31:0]地址;
rand length_e length;
兰特转移转移[];
rand int unsigned delay;
endclass
类转移扩展uvm_sequence_item;
rand bit [31:0]数据;
rand int unsigned delay;
endclass

回帖(3)

李铃华

2020-5-6 09:12:51
这些信号是通道检查器。
您可以在规范中找到详细信息http://www.xilinx.com/support/documentation/ip_documentation/ug761_axi_reference_guide.pdf
谢谢和RegardsBalkrishan -----------------------------------------------
---------------------------------------------请将帖子标记为
一个答案“接受为解决方案”,以防它有助于解决您的查询。如果一个帖子引导到解决方案,请给予赞誉。
举报

李悠冉

2020-5-6 09:22:19
哪个信号?
举报

李悠冉

2020-5-6 09:53:43
信号检查信号是什么意思?
举报

更多回帖

发帖
×
20
完善资料,
赚取积分