赛灵思
直播中

李为敏

7年用户 190经验值
私信 关注
[问答]

请问NgdBuild错误该怎么解决?

嗨,
我正在尝试实现一个ISE项目(版本12.2),它使用从Synplify Pro生成的.edf文件(版本F-2012.03-SP2。)
该设计使用了许多不同的Fifo生成器实例,这些实例是从较早版本的coregen创建的。
我已经为我的EDF和UCF文件添加了不同的Fifo生成器Instancesalong所需的所有NGC文件。
但是,即使在项目中添加了相应的ngc文件,我也会为一个特定实例fifo_4096x38获得以下NGD Build错误。
检查扩展设计...错误:NgdBuild:604 - 无法解析类型为“fifo_4096x38”的逻辑块'eagle_sas_sata_core_cha / rx_top_1 / frame_buffer'。
引脚名称拼写错误可能导致此问题,缺少edif或ngc文件,块名称与edif或ngc文件名之间的大小写不匹配,或者类型名称的拼写错误。
目标'virtex4'不支持符号'fifo_4096x38'。
我还使用-sd选项为实现指向了NGC文件位置。
请提供有关此问题原因的见解。
怎么解决这个问题?
谢谢&
问候,
裘德
谢谢&
问候,裘德

回帖(2)

石俊梅

2019-11-11 06:48:57
嗨,
检查您是否正确实例化了FIFO NGC(在实例化语句中写入的所有端口,实例化中的子模块名称与NGC名称相匹配等)。
您是否将设计从一台设备迁移到另一台设备?
谢谢,
迪皮卡。
谢谢,迪皮卡.----------------------------------------------
---------------------------------------------- Google之前的问题
张贴。
如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。
如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星)
举报

吴娜

2019-11-11 07:00:08
嗨Deepika,
感谢您的快速反应和
对不起我的延迟更新。
fifo NGC实例化正确完成,我也没有迁移设备。
但是,有问题的特定NGC是针对fifo_generator_v3_2(可能是使用ISE 10.1生成的)而且我怀疑它在某种程度上已经被破坏了。
我使用fifo_generator_v5_3生成了一个新的NGC,尝试匹配v3_2版本的XCO值。
这有助于清除我面临的NGDBuild错误。
我能够实现&
在没有任何问题的情况下测试设计。
再次感谢您的迅速回复。
最好的祝福,
裘德
谢谢&
问候,裘德
举报

更多回帖

发帖
×
20
完善资料,
赚取积分