赛灵思
直播中

朱虹

7年用户 225经验值
私信 关注
[问答]

如何在ucf文件中配置BUFGCTRL?

平台:virtex6 lx550
如何解决这个问题,如何在ucf文件中配置BUFGCTRL
警告:地点:1132 - 无法安排的位置!
已发现级联的BUFGCTRL时钟组件对未放置在可路由的站点对上。
驱动程序BUFGCTRL组件位于站点。
加载BUFGCTRL组件放置在现场。
如果BUFGCTRL组件放置在相邻的BUFGCTRL站点中,它们可以使用它们之间的快速路径,并且两者都位于设备的同一半(TOP或BOTTOM)。
您可能想要分析存在此问题的原因并进行更正。
这通常是一个错误,但CLOCK_DEDICATED_ROUTE约束已应用于COMP.PIN,允许您的设计继续。
此约束禁用与指定的COMP.PIN相关的所有时钟布局器规则。
PAR中的此放置是不可用的,因此,应在您的设计中修复此错误情况。

回帖(1)

李林

2019-10-25 10:13:29
正如错误消息msg所示,级联的BUFG需要是相邻的。
尝试将以下约束放在您的UCF中:
INST u_DWC_u***3 / U_DWC_u***3_clk / U_suspend_mux / BUFGCTRL LOC = BUFGCTRL_X0Y21;
INST u_DWC_u***3 / U_DWC_u***3_clk / U_mac_clk_mux / BUFGCTRL LOC = BUFGCTRL_X0Y22;
acelin写道:
平台:virtex6 lx550
如何解决这个问题,如何在ucf文件中配置BUFGCTRL
警告:地点:1132 - 无法安排的位置!
已发现级联的BUFGCTRL时钟组件对未放置在可路由的站点对上。
驱动程序BUFGCTRL组件位于站点。
加载BUFGCTRL组件放置在现场。
如果BUFGCTRL组件放置在相邻的BUFGCTRL站点中,它们可以使用它们之间的快速路径,并且两者都位于设备的同一半(TOP或BOTTOM)。
您可能想要分析存在此问题的原因并进行更正。
这通常是一个错误,但CLOCK_DEDICATED_ROUTE约束已应用于COMP.PIN,允许您的设计继续。
此约束禁用与指定的COMP.PIN相关的所有时钟布局器规则。
PAR中的此放置是不可用的,因此,应在您的设计中修复此错误情况。
干杯,吉姆
举报

更多回帖

发帖
×
20
完善资料,
赚取积分