赛灵思
直播中

徐静

7年用户 156经验值
私信 关注
[问答]

迫切需要输出DCM

你好,
我使用Spartan 3E。
我想要900KHz。
所以我使用DCM(核心发生器)产生18MHz,然后除以20.它效果很好,输出= 900KHz。
(50MHz  - > DCM +分区块 - > 900KHz)
但如果我添加块A / D(对于控制ADC,它需要时钟50MHz和900KHz。),DCM的输出为16.9MHz,除法输出为1.6MHz,不是900KHz!
我没有改变块DCM和分区块!
你可以帮我吗?
迫在眉睫!
非常感谢

以上来自于谷歌翻译


以下为原文

Hello,

I use Spartan 3E.
I want 900KHz . So I use DCM(core generator) to generate 18MHz , and then divide by 20.it works well, Output=900KHz.
(50MHz-->DCM+block of division-->900KHz)

But if I add block A/D (for control ADC, it needs clock50MHz and 900KHz .)  ,the output of DCM is 16.9MHz , the output of division is 1.6MHz , isn't 900KHz!!!  I didn't changed block DCM and block of division!!!

can you help me? it is urgent!
thanks a lot

回帖(4)

王山崎

2019-5-17 14:25:16
您使用DCM输出@ 900Khz时,您所拥有的设置并非100%清晰?
您使用CLKIN CLKOUT等的CM设置是什么?
--------------------------------------------------
-----------------------不要忘记回答,kudo,并接受为解决方案.-------------
--------------------------------------------------
----------

以上来自于谷歌翻译


以下为原文

The Setup you have is not 100% clear are you using a DCM output @ 900Khz?
 
What is the CM setup you are using CLKIN CLKOUT etc?
-------------------------------------------------------------------------
Don’t forget to reply, kudo, and accept as solution.
-------------------------------------------------------------------------
举报

刘晓燕

2019-5-17 14:36:52
谢谢

以上来自于谷歌翻译


以下为原文

thank you
举报

刘晓燕

2019-5-17 14:46:43


以上来自于谷歌翻译


以下为原文

and
举报

刘晓燕

2019-5-17 15:04:36
输入:DCM的CLKIN = 50MHz晶体振荡器(PIN B8)
输出:DCM的CLK0 = 50MHz,是A / D模块的时钟,FIR通带,FIR通道(由IP Core生成) 
DCM = 18MHz的CLKFX是分频输入(但输出不是18MHz)
除法输出= 18MHz / 20 = 900MHz(但它是1.69MHz !!!这很奇怪。)但它在仿真(测试平台)上运行良好。
900MHz是块A / D的输入信号。
我想说当与A / D块等的时钟链接时,DCM的输出有问题而我没有解决。没有理由!
谢谢!

以上来自于谷歌翻译


以下为原文

Input:  CLKIN of DCM=50MHz crystal oscillator(PIN B8)
 
Output:  CLK0 of DCM =50MHz ,is the clock of A/D block , FIR pass band ,FIR pass bas(generate by IP Core)
               CLKFX of DCM = 18MHz is the input of block division.(but the output isn't 18MHz)
Output of division=18MHz/20=900MHz(but it is 1.69MHz !!! it is strange.) But it works well on simulation(test bench).
 
900MHz is a input signal of block A/D.
 
I want to say that there is problem at output  of DCM when it links with clock of A/D block etc. and I don't unstand.There is no reason!
thank you!
举报

更多回帖

发帖
×
20
完善资料,
赚取积分