赛灵思
直播中

陈存楼

7年用户 1123经验值
私信 关注
[问答]

为什么在路由设计过程中出现此警告消息

嗨,
我在设计中使用了部件xc7a200tifbv676-1L(Artix7)。
我正在使用Vivado 2017.1。在“路线设计”阶段我得到了警告.....完成了无矢量传播活动传播警告:[Designutils 20-266]家庭artix7的电压源VCCINTIO无效。
忽略电压设置。警告:[Designutils 20-266]家庭artix7的电压源VCCINTIO无效。
忽略电压设置。警告:[Designutils 20-266]家庭artix7的电压源VCCINTIO无效。
忽略电压设置。警告:[Designutils 20-266]家庭artix7的电压源VCCINTIO无效。
忽略电压设置。警告:[Designutils 20-266]家庭artix7的电压源VCCINTIO无效。
忽略电压设置。警告:[Designutils 20-266]家庭artix7的电压源VCCINTIO无效。
忽略电压设置...在日志消息窗口中,它显示为:[Designutils 20-266]家庭artix7的无效电压源VCCINTIO。
忽略电压设置。
以下约束(以及其他)在我的xdc文件中,但我不明白哪个可以负责.set_property INTERNAL_VREF 0.750 [get_iobanks 12] set_property INTERNAL_VREF 0.750 [get_iobanks 14] set_property IOSTANDARD LVCMOS33 [get_ports {slot *} set_property IOSTandard
LVCMOS15 [get_ports {a7_rsvd *}] set_property IOSTANDARD LVCMOS33 [get_ports {a7_phy_ *}] set_property IOSTANDARD LVCMOS15 [get_ports {sf2_a7_ *}] set_property CONFIG_VOLTAGE 1.5 [current_design] set_property CFGBVS GND [current_design]
任何人都可以对此有所了解吗?
谢谢。
--------------------------------------------------
--------------------------------------------------
---- FPGA爱好者!-------------------------------------------
--------------------------------------------------
-----------

以上来自于谷歌翻译


以下为原文

Hi,

I am using the part xc7a200tifbv676-1L (Artix7) in a design. I am using Vivado 2017.1.

During 'Route-Design' stage I get the warning...
.
.
Finished Running Vector-less Activity Propagation
WARNING: [Designutils 20-266] Invalid Voltage Source VCCINTIO for the family artix7. Ignoring the voltage setting.
WARNING: [Designutils 20-266] Invalid Voltage Source VCCINTIO for the family artix7. Ignoring the voltage setting.
WARNING: [Designutils 20-266] Invalid Voltage Source VCCINTIO for the family artix7. Ignoring the voltage setting.
WARNING: [Designutils 20-266] Invalid Voltage Source VCCINTIO for the family artix7. Ignoring the voltage setting.
WARNING: [Designutils 20-266] Invalid Voltage Source VCCINTIO for the family artix7. Ignoring the voltage setting.
WARNING: [Designutils 20-266] Invalid Voltage Source VCCINTIO for the family artix7. Ignoring the voltage setting.
.
.

In the log Messages window it is displayed as:
[Designutils 20-266] Invalid Voltage Source VCCINTIO for the family artix7. Ignoring the voltage setting.

These following constraints (among others) are in my xdc file, but I don't understand which one can be responsible.

set_property INTERNAL_VREF  0.750    [get_iobanks 12]
set_property INTERNAL_VREF  0.750    [get_iobanks 14]
set_property IOSTANDARD LVCMOS33  [ get_ports {slot*}        
set_property IOSTANDARD LVCMOS15  [ get_ports {a7_rsvd*   }]
set_property IOSTANDARD LVCMOS33  [ get_ports {a7_phy_* } ]
set_property IOSTANDARD LVCMOS15  [ get_ports {sf2_a7_*  } ]

set_property CONFIG_VOLTAGE  1.5   [current_design]
set_property CFGBVS                  GND   [current_design]


Can anyone throw some light on this?

Thanks.
--------------------------------------------------------------------------------------------------------
FPGA enthusiast!
--------------------------------------------------------------------------------------------------------

回帖(4)

王刚

2018-10-30 18:16:14
它很可能是2017年的工具问题.1。7系列没有VCCINTIO。
CR已提交给开发团队进行调查。
请忽略警告。
--------------------------------------------------
-----------------------不要忘记回答,kudo,并接受为解决方案.-------------
--------------------------------------------------
----------

以上来自于谷歌翻译


以下为原文

It's most likely a tool issue in 2017.1. There is no VCCINTIO for 7 Series.
A CR has been submitted to dev team for investigation. Please ignore the warning.
-------------------------------------------------------------------------
Don't forget to reply, kudo, and accept as solution.
-------------------------------------------------------------------------
举报

贾小龙

2018-10-30 18:34:10
谢谢回复。
--------------------------------------------------
--------------------------------------------------
---- FPGA爱好者!-------------------------------------------
--------------------------------------------------
-----------

以上来自于谷歌翻译


以下为原文

Thanks for the reply.
--------------------------------------------------------------------------------------------------------
FPGA enthusiast!
--------------------------------------------------------------------------------------------------------
举报

王莉

2018-10-30 18:52:51
Vivado 2017.2中的同样问题

以上来自于谷歌翻译


以下为原文

Same problem in Vivado 2017.2
举报

周芳卿

2018-10-30 19:04:49
此问题将在2017.3 Vivado版本中修复。

以上来自于谷歌翻译


以下为原文

This issue will be fixed in the 2017.3  Vivado release.
举报

更多回帖

发帖
×
20
完善资料,
赚取积分