完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
module DataProcess(in,out);
input [511:0] in; output reg [511:0] out=in; reg[9:0]b=0; genvar a; generate for(a=0;a<512;a=a+1) begin:leng casex(out[a]) 1'bx:b=b+1; 1'b0,1'b1, default:b=b; endcase end endgenerate assign out[b-1]=1'b1; assign out=out+512-b; initial $monitor($time , ,"out= %h",out); endmodule 错误信息如下,请各位帮忙指正。 ** Error: (vlog-13069) D:/FPGA/test/SHA-1 modelsim/DataProcess.v(7): near "casex": syntax error, unexpected casex. ** Error: (vlog-13069) D:/FPGA/test/SHA-1 modelsim/DataProcess.v(17): near "endmodule": syntax error, unexpected endmodule. |
|
相关推荐
4个回答
|
|
提示都很明显了,有语法错误
|
|
|
|
本帖最后由 wangjia731029 于 2016-7-28 16:33 编辑
casex() 1'bx就忽略了这一位,你总共只有一位,就是任何情况下b=b+1;但你后面default又是b=b,感觉就冲突了吧;如果你要为x时,进行加1赋值操作的话,应该是case() |
|
|
|
verilog 没有casex只有case
|
|
|
|
casex(i),就是不管i取值为什么,1,0,或者不定态,后面的赋值语句都会执行,但是你又在后面加一个“1‘b0"的分支是几个意思 ??
|
|
|
|
你正在撰写答案
如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。
1368 浏览 1 评论
1167 浏览 0 评论
矩阵4x4个按键,如何把识别结果按编号01-16(十进制)显示在两个七段数码管上?
1374 浏览 0 评论
909 浏览 0 评论
2187 浏览 0 评论
1412 浏览 33 评论
5603 浏览 113 评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-20 18:35 , Processed in 0.631361 second(s), Total 78, Slave 61 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号