【OK210试用体验】开箱展示及led点亮 - 在线问答 - 电子技术论坛 - 最好最受欢迎电子论坛!

【OK210试用体验】开箱展示及led点亮

zhmmok ( 楼主 ) 2015-7-30 11:48:39  只看该作者 倒序浏览
本帖最后由 zhmmok 于 2015-7-30 11:48 编辑

    开发板已经到手两天了,今天终于凑出空来学习体验,下面我将一步一步展示OK210的外观及内部资源。首先先来张盒子的整体图


    再来张板子的俯瞰图,可以看到,OK210是采用核心板+地板结合的方式设计的,这样设计的好处是可以将核心板分离出来用作2次开发,这是我最喜欢的一点~


    下面我们看看核心板的具体样子,上面一共有7个芯片:1210芯片+11Gnandflash芯片+4128mSDRAM芯片+1电源管理芯片,也就是集成了处理器+内存+存储器的结构。



配件有一根双母头串口线+一根u***线+电源线


我在之前用过2440芯片,下面我列出2440和210芯片资源的对比图:


接下来开始写第一个裸板程序:点亮led灯.
首先编写代码启动阶段
  1. .global _start

    _start:

            bl main

    loop:

            bl loop

复制代码

然后程序跳转至main函数中,设置相关寄存器,点亮led

  1. #define MP0_4CON        (*(volatile unsigned long*) 0xe0200340)

    #define MP0_4DAT        (*(volatile unsigned long*) 0xE0200344)

    #define MP0_4PUD        (*(volatile unsigned long*) 0xe0200348)

    #define MP0_4DRV        (*(volatile unsigned long*) 0xe020034c)



  2. void main()

    {


  3.         MP0_4CON &= ~0xFFFF0000;

            MP0_4CON |= 0x10100000;

            MP0_4DAT = 0x00000000;

            return 0;

    }

复制代码


然后编写makefile,要注意语法编写规则



  1. SRC =  init.S led.c
    EXEC = led.bin
    OBJS = init.o led.o
    CC = arm-linux-gcc
    CFLAGS = -Wall -fno-builtin -nostdlib -ffreestanding

${EXEC} : ${OBJS}
        arm-linux-ld -Ttext 0x0 -o led.elf $^
        arm-linux-objcopy -O binary led.elf $@
        arm-linux-objdump -D led.elf > led.dis

  • %.o : %.S
            ${CC} ${CFLAGS} -c -o  $@ [        DISCUZ_CODE_72        ]lt;
    %.o : %.c
            ${CC} ${CFLAGS} -c -o  $@ [        DISCUZ_CODE_72        ]lt;

  • clean:
            rm -f *.o *.elf *.bin *.dis
    复制代码

    最后进行编译,将.bin程序下载进开发板(下载过程手册上有,我就不重复了),查看点亮效果.


    led.rar (1.97 KB, 下载次数: 10)

    3个回复

    zhmmok 发表于 2015-7-30 11:53:59
    不知道为什么代码变成这个样子,晕死,帖子好像还不能删除重新发送,只能下载源码来看了
    Elecfans管家 发表于 2015-7-31 10:47:02
    如何在帖子中加入代码 https://bbs.elecfans.com/jishu_458596_1_1.html 看下是不是这样操作的
    617595187 发表于 2015-8-9 15:01:50
    .bin文件是不是和烧写系统一样烧尽板子?
    您需要登录后才可以回帖 登录 | 注册

    本版积分规则


    关闭

    站长推荐上一条 /6 下一条

    小黑屋|手机版|Archiver|电子发烧友 ( 湘ICP备2023018690号 )

    GMT+8, 2024-8-18 21:17 , Processed in 0.600996 second(s), Total 66, Slave 46 queries .

    Powered by 电子发烧友网

    © 2015 bbs.elecfans.com

    微信扫描
    快速回复 返回顶部 返回列表