signal e: std_logic_vector(31 downto 0);
signal f: std_logic_vector(31 downto 0);
BEGIN
e<=Din1(31 downto 0);
f<=Din2(31 downto 0);
PROCESS(Din1,Din2,D)
BEGIN
if D'event and D='1' then
s<=conv_integer(e)*conv_integer(f);
end if;
end process;
Dout<=conv_std_logic_vector(s);
end a;
程序一直报错Error (10405): VHDL error at sum.vhd(23): can't determine type of object at or near identifier "conv_integer" -- found 0 possible types
不知道怎么改啊,求帮助啊!!!