完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
程序是一个模块,单独拿出来做了一下仿真,想让sz、rst均为1时将en置1,但是仿真的结果是en始终为1,麻烦高手看看程序到底是哪部分有问题啊,多谢了!!! library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity szmm is port ( rst,sz :in std_logic; d :in std_logic_vector(3 downto 0); en :buffer std_logic:='0'; so :out std_logic_vector(3 downto 0)); end szmm; architecture arch of szmm is signal ram:std_logic_vector(3 downto 0); begin process(sz,d,rst) begin if rst='1' then if sz='1' then ram<=d; en<='1'; end if; end if; end process; so<=ram; end arch;
|
|
相关推荐
2个回答
|
|
编译的时候会出现警告的。警告里面有一项是,因为出现不完整条件语句,所以en会被保持原值。编译器直接把en赋值‘1’了。你查看RTL就知道了 。
还有几点: 仿真的endtime 最好设置为50us,在组合逻辑仿真时,将其放大到微秒级。 为了减少竞争冒险,加个时钟,延时 评分
|
||
|
||
你的ELSE语句呢?语句不完全。
|
|
|
|
你正在撰写答案
如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。
1779 浏览 1 评论
1518 浏览 0 评论
矩阵4x4个按键,如何把识别结果按编号01-16(十进制)显示在两个七段数码管上?
1735 浏览 0 评论
954 浏览 0 评论
2525 浏览 0 评论
1571 浏览 39 评论
5804 浏览 113 评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-12-2 20:05 , Processed in 0.639308 second(s), Total 83, Slave 61 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号