完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
主程序:
module Data_Select( select_in, data_out ); input [1:0] select_in;output reg [3:0] data_out; always@(select_in) begin case (select_in)2'b00:data_out<=4'b0001;2'b01:data_out<=4'b0010;2'b10:data_out<=4'b0100;2'b11:data_out<=4'b1000;default: ; endcase end endmodule testbench: `timescale 1 ns/ 1 nsmodule Data_Select_vlg_tst(); reg [1:0] select_in;// wires wire [3:0] data_out; Data_Select i1 ( .data_out(data_out),.select_in(select_in));initial begin select_in<=2'b00; #100 select_in<=2'b01; #100 select_in<=2'b11; #100 select_in<=2'b10; #100 select_in<=2'b00; #100 $stop; end endmodule 运行仿真后为什么会出现如图这样的结果呢?波形出不来呀!! |
|
相关推荐
6个回答
|
|
程序中不要用<=,直接用=号,你还没有搞懂非阻塞赋值跟阻塞赋值的差别
|
|
|
|
只是testbench中的吧?主程序中的信号赋值不是要用非阻塞赋值吗?改了testbench中的<=可是还是原来那样呀!
|
|
|
|
select_in的波形有了吧,把程序中的<=也改成=号
|
|
|
|
select_in的波形也没有,把主程序的也改了,还是什么波形都没有呀!是不是软件出了问题呢!
|
|
|
|
你查查是不是软件那里没设置好
|
|
|
|
我觉得应该是软件有问题的!可是找了好久找不出是什么问题!不过还是谢谢你呀!
|
|
|
|
只有小组成员才能发言,加入小组>>
2884 浏览 3 评论
27672 浏览 2 评论
3460 浏览 2 评论
3975 浏览 4 评论
基于采用FPGA控制MV-D1024E系列相机的图像采集系统设计
2323 浏览 3 评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-12-5 10:57 , Processed in 1.013488 second(s), Total 89, Slave 69 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号