完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
--******************************************** LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; --******************************************** ENtiTY light is PORT( clk1 : IN STD_LOGIC; light: buffer std_logic_vector(7 downto 0) key : in std_logic_vector(5 downto 0) --修改为std_logic_vector(2 downto 0) ); END light; --********************************************* ARCHITECTURE behv OF light IS constant len : integer:=7; signal banner : STD_LOGIC:='0'; signal clk,clk2: STD_LOGIC; BEGIN clk<=clk1; process (clk) if clk'event and clk='1' then if key="000001"then if flag="000" then light<='1' & light(len downto 1); if light(1)='1' then flag:="001"; end if; elsif flag="001" then light<=light (len-1 downto 0) & '0'; if light(6)='0' then flag:="000"; end if; end if; elsif key="000010"then if flag="000" then light<= light(len-1 downto 0) & '1'; if light(6)='1' then flag:="001"; end if; elsif flag="001" then light<='0' & light (len downto 1) ; if light(1)='0' then flag:="000"; end if; end if; elsif key="000100" then if flag="000" then light(len downto 4) <=light (len-1 downto 4)&'1'; light(len-4 downto 0) <='1'& light(len-4 downto 1); if light(0)='1' then flag:="001"; end if; elsif flag="001" then light(len downto 4) <='0'& light(len downto 5); light(len-4 downto 0) <= light(len-5 downto 0)&'0'; if light(2)='0' then flag:="000"; end if; end if; elsif key="001000" then if flag="000" then light(len downto 4)<='1'&light(len downto 5); light(len-4 downto 0) <=light(len-5 downto 0)&'1'; if light(3)='1' then flag:="001"; end if; elsif flag="001" then light(len downto 4) <=light (len-1 downto 4)&'0'; light(len-4 downto 0) <= '0'& light(len-4 downto 1); if light(1)='0' then flag:="000"; end if; end if; elsif key="010000" then light<="11111111"; flag:="000"; elsif key="000000" then light<="00000000"; flag:="000"; end if; end if; end process; end behv; if key="000001" 这一段是8个led从左到右依次点亮,这一段程序每步的意义是什么?谢谢! |
|
相关推荐 |
|
你正在撰写答案
如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。
1421 浏览 1 评论
1215 浏览 0 评论
矩阵4x4个按键,如何把识别结果按编号01-16(十进制)显示在两个七段数码管上?
1426 浏览 0 评论
913 浏览 0 评论
2229 浏览 0 评论
1426 浏览 34 评论
5612 浏览 113 评论
浏览过的版块 |
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-22 06:17 , Processed in 0.333263 second(s), Total 38, Slave 30 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号