发 帖  
SI24R2E:智能电子学生卡2.4GHz考勤方案

今年年初教育部发布的《关于加强中小学生手机管理工作的通知》中提出,学生手机有限带入校园,原则上不得将个人手机带入校园,禁止带入课堂;应设立校内公共电话、建立班主 ...

2024年全球与中国自动方向电压转换器行业总体规模、主要企业国内外市场占有率及排名

自动方向电压转换器市场报告主要研究:自动方向电压转换器市场规模:产能、产量、销售、产值、价格、成本、利润等自动方向电压转换器行业竞争分析:原材料、市场应用、 ...

fiber sensors 和optical fiber sensors区别求解

最近看到一个fiber sensors 和optical fiber sensors的问题.但是查了一下资料出来的都是光纤传感器.不知道这两种到底有什么区别?希望能有大佬解惑. ...

0欧电阻在电路设计中的巧妙用处

**0欧电阻在电路设计中的巧妙用处**零欧姆电阻又称为跨接电阻器,是一种特殊用途的电阻,0欧姆电阻的并非真正的阻值为零,欧姆电阻实际是电阻值很小的电阻。本文分析0欧 ...

小米汽车核心供应链电池、电机、智能驾驶、内外饰件等关键领域供应商

3月28日,在技术发布后三个月,小米汽车SU7上市发布会“姗姗来迟”,小米创始人雷军揭晓小米汽车定价,21.59万元至29.99万元。小米汽车官方微博发文表示,“4分钟大定破万 ...

I.MX6ULL-飞凌 ElfBoard ELF1板卡- 应用层更改引脚复用的方法

在嵌入式系统设计中,引脚复用功能通常是通过设备树(Device Tree)预先配置设定的。出厂的设备树中UART2_TX_DATA和UART2_RX_DATA两个引脚被复用成了UART2功能,如果想要在不 ...

嵌入式学习-飞凌ElfBoard ELF 1板卡 - 应用层更改引脚复用的方法

在嵌入式系统设计中,引脚复用功能通常是通过设备树(Device Tree)预先配置设定的。出厂的设备树中UART2_TX_DATA和UART2_RX_DATA两个引脚被复用成了UART2功能,如果想要在不 ...

NRF24L01P和SI24R1的区别

NRF24L01无线模块广泛地运用于:无线门禁、无线数据通讯、安防系统、遥控装置、遥感勘测、智能运动设备、工业传感器;平常我们用到的无线鼠标基本上采用的都是NORDIC的NR ...

大电流发生器如何连接负载做温升试验?

近日公司新购入一台大电流发生器,要求对公司产品做温升试验,我们是专门为化工行业提供防爆配电箱产品,所以这大电流发生器与配电箱如何连接呢?请知道的兄弟们回复,谢谢 ...

低功耗、底噪度模拟相机方案和DATASHEET

        XS5018A 是一款针对CMOS 图像传感器的高性价比的图像信号处理芯片,为ISP+TX 单芯片解决方案产品,内部除集成 CPU 外,还包括 SPI ...

小米汽车技术发布会及各产业链公司信息梳理

根据小米汽车技术发布会及各产业链公司官方信息梳理,我们看到小米汽车目前主要与产业链各细分领域头部厂商合作。例如:驱动电机环节,汇川科技和联合汽车电子为小米汽车的 ...

2024年小米汽车产业链分析及新品上市全景洞察报告

2024年小米汽车产业链分析及新品上市全景洞察报告*附件:小米汽车全面洞察报告.pdf本文主要介绍了小米汽车在市场中的布局和优势,以及其面临的劣势与挑战。小米汽车凭借 ...

深入探讨机智云物联网智能家居系统的优化方案

随着经济发展和人民生活水平提高,对家居环境的需求不断增加,智能家居变得至关重要。涵盖家电控制、智能照明、安全监控等领域,智能家居通过手机、电脑等连接网络,实现远 ...

利用6轴姿态模块来计算,物体的运动轨迹能不能实现?

仅仅利用6轴姿态模块来计算,物体的运动轨迹能不能实现?    本人采用stm32f103采集姿态模块数据,姿态模块采用的是维特jy901s,为什么积分计算距离之后误差非 ...

mp3或者电脑的音频输出接口3.5mm音频电路转换成mic 的电路信号

假设我有一个电路现在是接一个电容式话筒麦克,我想把她改成mp3或者电脑的音频接口3.5mm音频电路 来替换 mic 的输入,我理解应该是一个音频变压器. 或者一个转换芯片,请问 ...

3D打印遥控气垫船、微型步行机器人、变压器式实验室电源|DF创客周刊(第77期)

社区公众号记录每周值得分享的创客相关内容,每周五发布~图片&视频3D打印遥控气垫船(IPACV-3D)这款名为IPACV-3D的3D打印遥控气垫船,不仅外形时尚,功能上也大有看 ...

鸿湖万联参与“City-X 雄安城市鸿蒙”产品发布会

鸿湖万联持续助力雄安城市鸿蒙生态建设3月28日,由雄安新区科学园管理委员会、中国雄安集团有限公司主办的“City-X 雄安城市鸿蒙”2024春季产品发布会,在雄安新区启动区 ...

经纬恒润AUTOSAR产品成功适配芯来科技RISC-V处理器NA系列内核

经纬恒润AUTOSAR产品成功适配芯来RISC-V车规内核近日,经纬恒润AUTOSAR基础软件产品****INTEWORK-EAS(ECU AUTOSAR Software,以下简称EAS)在芯来提供的HP060开发板**** ...

紫光同创强势推出Logos系列高性价比车规级FPGA器件PGL25G-6AFBG256及全套自主开发软件和IP方案

紫光同创车规级FPGA芯片首发上市!近年来,“智能化、电动化、网联化、共享化”趋势正加速推进汽车电子技术和架构的快速演进。其中,被誉为“万能芯片”的FPGA凭借高度灵 ...

智驾+智舱+生态,将会成为小米汽车参与竞争的技术制高点!

  过去十年,在智能电动汽车领域,已经解决了电动化的问题;  未来十年,将是智能化的十年。智能化将成为这个时代的决胜点。  小米从2010年开始进入智能手 ...

小米SU7汽车标准版定价21.59万,SU7 Pro续航提升至830公里

3月28日晚,小米汽车交车的历史性时刻终于来了。这个勇于跨界挑战造车的团队,一直备受业界关注,无数米粉热喊期待。蔚小理的李斌、何小鹏、李想等汽车界一众大佬都齐聚今 ...

Tim1的Encoder Mode里的四个中断在什么情况下会被触发?

  define TIM1_BRK_IRQChannel          ((u8)0x18)  / TIM1 Break Interrupt /define TIM1_UP_IRQChannel  &n ...

STM32H750VBT6 ADC1,ADC2,ADC3,加DMA为什么ADC3回调函数不能正常工作?

  STM32H750VBT6  ADC1,ADC2,ADC3,加DMA为什么ADC3回调函数不能正常工作 ADC1,ADC2 回调函数能正常工作 ADC1,ADC2,初始化如同ADC3 ...

stm32wle5误写flash后,不能正常发送数据包,出现MAC txTimeOut如何恢复?

stm32wle5误写flash后,不能正常发送数据包,出现MAC txTimeOut,如何恢复正常?

请问stm32cubeprogrammer支持i2c烧录吗?

看手册说是支持i2c烧录,但没找到具体设置在哪?

关于STM32G474使用SIMD指令做乘加运算的问题求解

大家好,我现在想测试一下SIMD指令执行16bit加法和乘法是否会比直接写加法和乘法更快我的代码截图如下(16bit加法)用的是SADD16()指令(我不知道是否是我调用的指令不 ...

STM32 QSPI怎么退出映射模式?

我使用QSPI FLASH 作为放置主程序的地方,首先从BOOT 启动,将QSPI 映射到0X90000000, 然后跳转到0X90000000, 但是当我需要OTA 的时候,我必须跳到 内部FLASH 中,多 QSPI ...

在BootLoader跳转函数使用前需要做哪些处理?

请问一下各位大佬,在BootLoader跳转函数使用前需要做哪些处理?比如是否关闭全局中断?是否清除所有中断标志位?是否关闭systick内部时钟?是否关闭总线时钟?在从boot跳 ...

STM32F4卡死在时钟初始化配置上是哪里出了问题?

时钟初始化  if((HAL_GetTick() - tickstart ) > HSE_TIMEOUT_VALUE){return HAL_TIMEOUT;}

NUCLR8的STM32F302R8采用JLINK下载程序检测不到SWD引脚是哪里的问题?

NUCLR8的STM32F302R8采用JLINK下载程序检测不到SWD引脚

关闭

站长推荐 上一条 /6 下一条