发 帖  
经验: 积分:1
学生 华南师范大学
广东省 广州市 学术研究/学生
  •   综合(Logic Synthesize)是指将HDL语言、原理图等设计输入翻译成由与、或、非门等基本逻辑单元组成的门级连接(网表),并根据设计目标与要求(约束条件)优化所生成的逻辑连接,输出门级网表文件。RTL级综合指将RTL级源代码翻译并优化为门级网表。
    Baekhyn0506
    10218次阅读
    0条评论
ta 的专栏

成就与认可

  • 获得 0 次赞同

    获得 1 次收藏
关闭

站长推荐 上一条 /6 下一条

返回顶部