发 帖  
  • 回答了问题 2017-7-10 13:07

    FPGA verilog浮点数运算

    fd
    verilog支持像C语言那样直接做浮点运算吗?如果支持,为什么不直接加减乘除呢?
ta 的专栏
关闭

站长推荐 上一条 /6 下一条

返回顶部