发 帖  
经验: 积分:7
天津城建大学
天津市 设计开发工程
  • 回答了问题 2016-7-30 17:08

    FPGA引脚所属的IO Bank不同有关系吗

    天津城建大学
    根据现象,我找到了问题所在。是我的开发板上的bank6上对应的IO口不能产生pwm波,其他bank组的io口可以产生,但这个现象我觉得很奇怪,都是引出的IO口,难道还有区别 ...
ta 的专栏
关闭

站长推荐 上一条 /6 下一条

返回顶部