module testbench();
reg clk;
reg [7:0] a;
reg [7:0] b;
reg [7:0] c;
wire [7:0] amax_o;
// 实例化被测试的模块
max u1(
.clk(clk),
.a(a),
.b(b),
.c(c),
.amax_o(amax_o)
);
initial begin
// 初始化输入值
a = 8'hA; // 十六进制表示,等于十进制的10
b = 8'hB; // 十六进制表示,等于十进制的11
c = 8'hC; // 十六进制表示,等于十进制的12
clk = 0;
// 模拟时钟信号
forever #5 clk = ~clk;
end
initial begin
// 监视并打印输出值
$monitor("Clock: %b, A: %h, B: %h, C: %h, Amax: %h", clk, a, b, c, amax_o);
end
endmodule
module testbench();
reg clk;
reg [7:0] a;
reg [7:0] b;
reg [7:0] c;
wire [7:0] amax_o;
// 实例化被测试的模块
max u1(
.clk(clk),
.a(a),
.b(b),
.c(c),
.amax_o(amax_o)
);
initial begin
// 初始化输入值
a = 8'hA; // 十六进制表示,等于十进制的10
b = 8'hB; // 十六进制表示,等于十进制的11
c = 8'hC; // 十六进制表示,等于十进制的12
clk = 0;
// 模拟时钟信号
forever #5 clk = ~clk;
end
initial begin
// 监视并打印输出值
$monitor("Clock: %b, A: %h, B: %h, C: %h, Amax: %h", clk, a, b, c, amax_o);
end
endmodule