FPGA|CPLD|ASIC论坛
直播中

malevolence

3年用户 16经验值
擅长:测量仪表 编码器
私信 关注
[问答]

请问如何用Verilog HDL语言实现以下要求的RS485通信?

`用FPGA开发板和这个模块
实现 1.png 2.png

这样要求的485通信?真诚请教~~~

` MXE8M2{BDSPOSB`R@[BASE4.png
AN3485_UG.pdf (1.41 MB)
(下载次数: 12, 2021-2-7 10:10 上传)
hiperface原版.pdf (1.3 MB)
(下载次数: 8, 2021-2-7 10:10 上传)
已退回22积分

回帖(1)

卿小小_9e6

2021-2-7 14:03:59
你参考板卡自带的DEMO程序,自己增加一个接收数据超时检测的功能即可。
举报

更多回帖

发帖
×
20
完善资料,
赚取积分