赛灵思
直播中

陈敏杰

7年用户 263经验值
私信 关注
[问答]

使用Tri Mode以太网MAC IP实现Vivado 2013.4发生严重警告

大家好!
我正在研究ZC702板,在实施流程中我收到了一些关键警告,其中采用了模式以太网MAC IP的示例设计。
所有这些都与.xdc文件有关。
关于我的情况,我没有在互联网上找到任何解决方案。
以下是批评警告。
[Vivado 12-1411]无法设置端口的LOC属性,站点位置无效[“F:/.../ tri_mode_ethernet_mac_0_example_design.xdc”:44]
- >(有关行)
set_property PACKAGE_PIN C12 [get_ports mdio] set_property IOSTANDARD LVCMOS25 [get_ports mdio]
我检查了zc702的用户指南xtp185,并确定了针脚的位置是正确的。
另一种严重的警告是这些:
[Vivado 12-1411]无法设置端口的LOC属性,无法在A13(IOPAD_X1Y103)合法地放置实例trimac_fifo_block / trimac_sup_block / tri_mode_ethernet_mac_i / inst / rgmii_interface / ibuf_data [3] .rgmii_rxd_ibuf_i,因为它属于包含实例trimac_fifo_block / trimac_sup_block的形状
/tri_mode_ethernet_mac_i/inst/rgmii_interface/rxdata_in_bus[3].rgmii_rx_data_in。
的形状需要trimac_fifo_block / trimac_sup_block / tri_mode_ethernet_mac_i /安装/ rgmii_interface / ibuf_data之间相对位置[3] .rgmii_rxd_ibuf_i和trimac_fifo_block / trimac_sup_block / tri_mode_ethernet_mac_i /安装/ rgmii_interface / rxdata_in_bus [3] .rgmii_rx_data_in该cannnot兑现,因为它会导致无效的
trimac_fifo_block / trimac_sup_block / tri_mode_ethernet_mac_i / inst / rgmii_interface / rxdata_in_bus [3] .rgmii_rx_data_in的位置。
[ “F:/vivado/zync/tri_mode_ethernet_mac_0_example/tri_mode_ethernet_mac_0_example.srcs/constrs_1/imports/example_design/tri_mode_ethernet_mac_0_example_design.xdc”:48]
- >(有关行)
set_property PACKAGE_PIN A13 [get_ports rgmii_rxd [3]]
set_property IOSTANDARD HSTL_I_18 [get_ports {rgmii_rxd [3]}]
我使用ZC702的用户指南ug850和xtp185设计的引脚。
所有这些都会在BitStream生成期间导致此错误:
[Drc 23-20]规则违规(UCIO-1)无约束逻辑端口 - 25个逻辑端口中的15个没有用户分配特定位置约束(LOC)。
这可能导致I / O争用或与电路电源或连接不兼容,从而影响性能,信号完整性或在极端情况下导致设备或其所连接的组件受损。
要更正此违规,请指定所有引脚位置。
除非所有逻辑端口都定义了用户指定的站点LOC约束,否则此设计将无法生成比特流。
要允许使用未指定的引脚位置创建比特流(不推荐),请使用以下命令:set_property SEVERITY {Warning} [get_drc_checks UCIO-1]。
注意:使用Vivado运行基础结构(例如,launch_runs Tcl命令)时,将此命令添加到.tcl文件,并将该文件添加为执行运行的write_bitstream步骤的预挂钩。
问题端口:rgmii_rxd [3:0],rgmii_txd [3:0],mdc,mdio,phy_resetn,rgmii_rx_ctl,rgmii_rxc,rgmii_tx_ctl,rgmii_txc。
[Vivado 12-1345]在DRC期间发现错误。
比特根没跑。
那我错了?
问候,
吕克

回帖(3)

李裕伦

2019-9-20 08:47:09
这似乎有效。
是否可以检查最新版本?
举报

贾埃罗

2019-9-20 09:05:31
我也尝试过vivado 2014.1和2014.2,结果是一样的。
举报

李裕伦

2019-9-20 09:16:09
你添加了这些针脚吗?
这似乎专用于ZC702板。
问候
Sikta
举报

更多回帖

发帖
×
20
完善资料,
赚取积分