赛灵思
直播中

乔军

7年用户 222经验值
私信 关注
[问答]

路由占用太多时间

我正在使用vivado 2014.2。
我的设计包含MIG示例设计,TRIMAC示例设计和我的逻辑。
个别资源的实施后资源利用率低于40%。
Route design指令在实现属性中设置为default。
问题是我的设计在路由步骤中花了很长时间。
我已经把它留了5个小时但是被击中的点是(在日志窗口中)
阶段4.2全局迭代1具有重叠的节点数= 20013具有重叠的节点数= 7137
它是某个地方是无限循环(vivado bug)还是我应该等待更多?

以上来自于谷歌翻译


以下为原文

I am using vivado 2014.2.

My design contains MIG example design, TRIMAC example design plus my logic.

Post implementation resource utilization is under 40 % for individual resources.

Route design directive is set to default in implementation properties.

Problem is my design is taking tooooo long in routing step. I have left it for our 5 hours but the struck point is (in log window)

Phase 4.2 Global Iteration 1
Number of Nodes with overlaps = 20013
Number of Nodes with overlaps = 7137

Is it struck somewhere is an infinite loop (vivado bug) or should i wait more?

回帖(5)

陈衡毅

2018-10-26 09:03:19
嗨,您使用的是哪种操作系统?请更改实施策略并运行。
谢谢,维杰-----------------------------------------------
---------------------------------------------请将帖子标记为
一个答案“接受为解决方案”,以防它有助于解决您的查询。如果一个帖子引导到解决方案,请给予赞誉。

以上来自于谷歌翻译


以下为原文

Hi,
Which OS are you using?
Please change the implementation strategies and run.Thanks,Vijay
--------------------------------------------------------------------------------------------
Please mark the post as an answer "Accept as solution" in case it helped resolve your query.
Give kudos in case a post in case it guided to the solution.
举报

向可嘉

2018-10-26 09:10:42
我正在使用Windows 7.您推荐的实施策略中是否有特定的内容?

以上来自于谷歌翻译


以下为原文

I am using windows 7. is there something specific in implementation stratgies you recomend?
举报

陈衡毅

2018-10-26 09:22:44
嗨,尝试Flow_RuntimeOptimized有关更多信息,请参阅http://www.xilinx.com/support/documentation/sw_manuals/xilinx2014_2/ug904-vivado-implementation.pdf的第137页。
谢谢,维杰-----------------------------------------------
---------------------------------------------请将帖子标记为
一个答案“接受为解决方案”,以防它有助于解决您的查询。如果一个帖子引导到解决方案,请给予赞誉。

以上来自于谷歌翻译


以下为原文

Hi,
Try Flow_RuntimeOptimized
Refer to page 137 of http://www.xilinx.com/support/documentation/sw_manuals/xilinx2014_2/ug904-vivado-implementation.pdf for more informationThanks,Vijay
--------------------------------------------------------------------------------------------
Please mark the post as an answer "Accept as solution" in case it helped resolve your query.
Give kudos in case a post in case it guided to the solution.
举报

李裕伦

2018-10-26 09:29:39
从日志来看,由于节点重叠很多,它看起来似乎是一个拥挤的设计。
你可以运行一些策略:
#1
Place_design -directive探索
Route_design -directive探索
#2
place_design -directive ExtraNetDelay_high
phys_opt_design -directive AggressiveFanoutOpt
route_design -directive MoreGlobalIterations
#3
place_design -directive ExtraNetDelay_high
route_design -directive MoreGlobalIterations
#4
place_design -directive ExtraNetDelay_high
route_design -directive HigherDelayCost
试试这些。
希望这可以帮助。
问候
Sikta

以上来自于谷歌翻译


以下为原文

From the log, It definitely seems a congested design as there are lot of node overlaps.
 
 
Can you run some strategies:
 
#1
Place_design –directive Explore
Route_design –directive Explore
 
#2
place_design -directive ExtraNetDelay_high
phys_opt_design -directive AggressiveFanoutOpt
route_design -directive MoreGlobalIterations
 
#3
place_design -directive ExtraNetDelay_high
route_design -directive MoreGlobalIterations
 
#4
place_design -directive ExtraNetDelay_high
route_design -directive HigherDelayCost
 
Try with these. Hope this helps.
 
Regards
Sikta
举报

更多回帖

发帖
×
20
完善资料,
赚取积分