FPGA|CPLD|ASIC论坛
登录
直播中
李湍
12年用户
3经验值
擅长:可编程逻辑 数字及可编程逻辑 数字及可编程逻辑 数字及可编程逻辑 数字及可编程逻辑 数字及可编程逻辑 数字及可编程逻辑 数字及可编程逻辑 数字及可编程逻辑 数字及可编程逻辑 数字及可编程逻辑 处理器/DSP 数字及可编程逻辑 数字及可编程逻辑 控制/MCU 数字及可编程逻辑 EDA/IC设计 数字及可编程逻辑 数字及可编程逻辑 数字及可编程逻辑 数字及可编程逻辑
私信
关注
[问答]
状态机下载到片子,状态不转移。
开启该帖子的消息推送
运行
状态
状态机
我用VHDL编写的程序,Modelsim跑前后
仿真
都没有问题。下载到片子上怎么都没结果。后来考虑可能是没有进状态机,试着用LED发现没有状态转移。后来编写了一个最基本的状态机程序,发现也是同样问题。
程序如下:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declara
ti
on if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ProgramTest is
Port ( Clk, Reset : in STD_LOGIC;
LED1,LED2 : out STD_LOGIC);
end ProgramTest;
architecture Behavioral of ProgramTest is
type tateType is (stIdle, stLed, stComplete);
signal StateType: PresState;
signal StateType: NextState;
signal oLed1, oLed2: std_logic;
begin
process (Clk, Reset)
begin
if rising_edge(Clk) then
if Reset = '1' then
PresState <= Idle;
else
PresState <= NextState;
end if;
end if;
end process;
process (PresState)
begin
case PresState is
when stIdle =>
oLed1<= '1';
NestState <= stLed;
when stLed =>
oLed2 <= '1';
NextState <= stComplete;
when stComplete =>
NextState <= Idle;
end case;
end process;
end Behavioral;
LED上拉到3.3V,因此高电平的时候LED灯不亮。下载到片子后,LED1灯不亮,LED2灯亮,说明状态机没进入第二个状态。请大侠指教一下!!
回帖
(1)
钟哥
2017-11-20 08:39:33
本帖最后由 钟哥30 于 2017-11-20 08:41 编辑
FPGA复位好像是低有效吧,
if Reset = '1' then改成
if Reset = '0' then
本帖最后由 钟哥30 于 2017-11-20 08:41 编辑
FPGA复位好像是低有效吧,
if Reset = '1' then改成
if Reset = '0' then
举报
更多回帖
rotate(-90deg);
回复
相关问答
运行
状态
状态机
什么是
状态机
?
状态机
是如何编程的?
2021-10-20
1919
verilog
状态机
问题
2017-10-05
3626
浅谈有限
状态机
FSM——以序列检测为例
2014-09-25
10200
状态机
是什么?什么是消息触发类型的
状态机
?
2021-04-19
1798
有限
状态机
有什么类型?
2020-04-06
3636
labview2015怎么没有标准
状态机
2017-08-13
5139
【Z-turn Board试用体验】有限
状态机
三段式描述方法(转载)
2015-05-25
4797
简要介绍单片
机
C语言的
状态机
编程思想
2022-02-25
1161
常用的几种
状态机
2021-04-02
1163
怎样同时用LAD和ST语言去描写
状态机
2021-09-30
1758
发帖
登录/注册
20万+
工程师都在用,
免费
PCB检查工具
无需安装、支持浏览器和手机在线查看、实时共享
查看
点击登录
登录更多精彩功能!
首页
论坛版块
小组
免费开发板试用
ebook
直播
搜索
登录
×
20
完善资料,
赚取积分