FPGA|CPLD|ASIC论坛
直播中

2428102371

8年用户 20经验值
擅长:测量仪表
私信 关注
[讨论]

利用modelsim仿真产生相位差90度的方波信号

在利用FPGA实验时,需要利用modelsim仿真,产生两路相位差90度的方波信号,我的程序如下:
always begin   //信号A
                A=1'b0;
                #10 A=1'b1;
                #10;
                end
always begin   //信号B
                #5 B=1'b0;
                #10 B=1'b1;
                #10;
                end

可是波形不对

回帖(3)

董泽芳

2016-12-17 13:07:19
initial begin
#5
B=A;
end
举报

lcy_jt

2016-12-28 22:36:07
不错,学习
举报

牛哥哥要炸天

2016-12-29 17:49:43
initial begin
        A=1'b0;
end
always #5 A=!A;

always B=!A;
举报

更多回帖

发帖
×
20
完善资料,
赚取积分