电路设计论坛
直播中

张高源

未满1年用户 3经验值
擅长:可编程逻辑 嵌入式技术 控制/MCU
私信 关注
[问答]

四人表决器,含主裁判,用protues进行仿真,怎么设计电路?

节目有一位主评委和三位评委进行表决,当满足以下条件时决议通过:有三人或三人以上同意;或者有两人同意,但其中一人必须是主评委。要求:用两输入与非门设计满足上述要求的表决电路。

1、电路设计过程
2、画出电路图,并进行元件选型,对元器件功能进行说明(给出引脚分布图、生产公司,电路类型(TTL or CMOS)等)
3.进行仿真

回帖(1)

李萍

2024-3-28 18:29:39
1. 电路设计过程:
首先,我们需要根据题目要求设计一个主评委和三位评委进行表决的电路。根据题目要求,我们可以使用两输入与非门来实现这个电路。

设计电路的思路是:当有三人或三人以上同意时,输出一个高电平;当有两人同意且其中一人为主评委时,输出一个高电平。

以下是电路设计过程的步骤:
1) 使用两输入与非门,将三位评委的投票输入进行与运算,得到一个中间结果。
2) 将中间结果与主评委的投票输入进行或运算,得到最终的输出结果。

2. 画出电路图,并进行元器件选型:
根据电路设计过程中的思路,我们可以画出以下电路图:

```
主评委
   |
   |
  AND1 ---
   |       - OR1 -- 输出结果
   |       -
评委1 ---/  AND2
   |
   |
评委2
   |
   |
评委3
```

元器件功能说明:
- 主评委、评委1、评委2和评委3:输入端,接收评委的投票信号。
- AND1和AND2:两输入与门,用于计算三位评委投票的与运算。
- OR1:两输入非门,用于计算最终的结果,并输出。

引脚分布图、生产公司和电路类型等信息需要根据具体的元器件进行确定。

3. 进行仿真:
使用Protues进行仿真,可以通过添加元器件并设置其输入信号来模拟输入的投票情况,然后观察输出结果是否符合预期。在仿真过程中,可以模拟不同的投票情况,以验证电路的正确性和可行性。
举报

更多回帖

发帖
×
20
完善资料,
赚取积分