【每周一练】小眼睛FPGA1K开发板硬件平台 - FPGA开发者技术社区 - 电子技术论坛 - 广受欢迎的专业电子论坛
分享 收藏 返回

[文章]

【每周一练】小眼睛FPGA1K开发板硬件平台

感谢电子发烧友提供的每周一练的测评机会。本次测评试用的是深圳市小眼睛半导体科技有限公司的MES1K开发板,以紫光Compact系列PGC1KG-LPG100器件为核心,丰富的扩展IO 及数码管、按键、LED 灯,为用户提供基本的硬件环境。

MES1KG开发板.jpg

器件和调试接口

开发板使用Type-C供电,并在供电电路中使用FUS防止电路过载。

usb供电电路.png

电路中使用LDO转换5V为3.3V为开发板的元器件提供电源。

LDO.png

FPGA的系统的复位电路如下
复位电路.png

FPGA的工作时钟由外部的时钟电路提供,时钟源为50M。

时钟电路.png

时钟电路的输出与FPGA管脚对应关系如下表

信号 功能 FPGA管脚
50M 50MHz时钟 63

板上还预留了JTAG接口用于FPGA的调试和编程

JTAG调试接口.png

从原理图中看,开发的供电、复位和调试电路设计很周全。

外设:LED灯和RGB灯

LED和RGB灯作为常见的GPIO输出设备,开发板上提供了相应的外设。其中LED共有8个,控制引脚为高电平时LED点亮。
led.png

外设引脚 FPGA管脚
D1 12
D2 13
D3 14
D4 15
D5 16
D6 18
D7 17
D8 19

RGB有4个,每个RGB的控制引脚采用共阳,所以控制引脚低电平时,对应的灯点亮,原理图如下。

rgb.png

外设引脚 FPGA管脚
LD1G 24
LD1Y 21
LD1R 20
LD2G 29
LD2Y 27
LD2R 25
LD3G 28
LD3Y 36
LD3R 30
LD4G 37
LD4Y 35
LD4R 34

外设:数码管

开发板上的数码管为4位8段数码管,原理图。

数码显示管电路.png

信号 功能 FPGA管脚
SEG_DIG1 左侧起第一位数码管位选 4
SEG_DIG2 左侧起第二位数码管位选 7
SEG_DIG3 左侧起第三位数码管位选 87
SEG_DIG4 左侧起第四位数码管位选 83
SEG_A 数码管段选A 2
SEG_B 数码管段选B 3
SEG_C 数码管段选C 98
SEG_D 数码管段选D 99
SEG_E 数码管段选E 96
SEG_F 数码管段选F 88
SEG_G 数码管段选G 1
SEG_DP 数码管段选DP 84
L1/L2 数码管L1/L2 97
L3 数码管L3 78

外设:按键

按键作为常见的输入设备,开发板上提供了4个按键,并为按键进行了硬件滤波。

按键.png

信号 功能 FPGA管脚
KEY1 按键1 62
KEY2 按键2 61
KEY3 按键3 60
KEY4 按键4 59

外设:拨码开关

拨码开关相比按键可以稳定地保持高电平或者低电平状态,拨码开关的原理图和引脚分布如下。

开关.png

信号 功能 FPGA管脚
SWITCH1 开关1 58
SWITCH2 开关2 57
SWITCH3 开关3 54
SWITCH4 开关4 53

总结

通过梳理开发板的硬件电路,可以了解开发板的资源,为后续的开发铺路。

更多回帖

×
发帖