我的第一个UVM代码——Hello world - FPGA开发者技术社区 - 电子技术论坛 - 广受欢迎的专业电子论坛
分享 收藏 返回

[文章]

我的第一个UVM代码——Hello world

以下文章来源于ExASIC ,作者陈锋

你刚开始是怎么学UVM的?白皮书还是红皮书?而我是从hello world实验开始的。

就是这段:

(为什么是图片不是文字?就是为了不让你们Ctrl-C Ctrl-V!)
image.png

几点说明:

  1. 为了使用UVM库里的函数和宏定义,需要先import uvm_pkg和include uvm_macros。
  2. 在testbench的顶层(module tb)里通过run_test调用了uvm_test派生出来的testcase(hello_world)。
  3. 为什么run_test能够通过字符串"hello_world"找到testcase?与宏定义`uvm_component_utils有关

仿真命令:

irun -sv -uvmhome \

/.../ies/tools/methodology/UVM/CDNS-1.2/sv \

hello_world.sv

仿真结果如下:

image.png

最后,再用一张图来描述一下这个hello_world的结构:
image.png

更多回帖

×
发帖