正点原子学习小组
直播中

fannifu

11年用户 92经验值
擅长:MEMS/传感技术 控制/MCU
私信 关注

【正点原子DFPGL22G开发板体验】紫光同创FPGA开发环境搭建 PDS(Pango Design Suite)软件安装

紫光同创FPGA开发环境搭建 PDS(Pango Design Suite)软件安装

一、Pango Design Suite软件的安装

请先解压PDS_2021.4-SP1.2-win64.zip;

解压完成后,双击"Setup.exe"开始安装。

没什么好说的,next->next 可能会遇到错误:可以尝试安装关闭其他应用程序、以管理员身份运行、VC库版本与PDS要求不一致,卸载VC库,重装。

说明:Pango Design Suite软件可以公开下载,可在紫光同创官网下载:https://www.pangomicro.com/support/License-pds/index.html

也可以网盘下载:

可查看上一篇:

DFPGL22G开发板开箱上电试用 爱之初体验

二、Pango Design Suite注册

软件安装后需要添加license才能使用。

lic11.png

说明:Pango Design Suite软件的license可以在紫光同创官网进行免费申请,紫光同创官网:https://www.pangomicro.com/support/License-pds/index.html

login8.png

在紫光申请账号,首先很快回复:

“很抱歉,您的申请未通过审核,请完善资料重新提交申请!如有任何疑问,请与我们联系!“

我试着再次注册账号,后面杳无音信,这体验可比Altera差远了,在Altera注册号,马上成功,并可以快速申请有License

经过漫长等待,终于可以登录了,但申请license,仍然困难丛丛(希望这个能有改进)

找到自己PC的地址,填入,-。。提交

err3.png

验证码怎么填。。。。后面终于,找到可以填验证码,提示“提交成功”,确没提示怎么,哪里下载license, 操作了几回,后面想想看看邮件,如果 是邮件里,有好几个

后面又是漫长等待

三、 License 配置及使用

使用 Pango Design Suite 时,需预先对 License 进行配置,否则无法使用。License 包括 Pango Design Suite License 及第三方组件 OEM License。下面分别介绍 Pango Design Suite License 和 OEM License 的配置方法。

PDS License配置

在使用 Pango Design Suite 软件时,可以向本公司申请 Node-locked License(单机版)或 Floating License(服务器版)。

单机版License是不需要 LicenseServer 的License,也是通常见到的License。

服务器版 License 需要使用 LicenseServer,且只要 LicenseServer 中允许的license feature 的数量> 0,任何一台机器都可以 check out license(远程仅支持服务器版 License,不支持单机版 License)。

申请服务器版 License 时需提供 mac 地址,申请时需说明是单机版还是服务器版。

我们个人用户选择单片机版,如果是企业用户可选择服务器版就可以一台安装了Licence,开发机就不用再申请了。

单机版 PDS License 的配置

若 license 文件(如 pds_node-locked.lic)路径为:E:\license\pds_node-locked.lic

直接设置环境变量:

变量名:PANGO_LICENSE_FILE

变量值:E:\license\pds_node-locked.lic

即可正常使用 PDS 套件。

通过邮件获取到lic

lic6.png

保存到......

添加lic

lic16.png

终于可以用,激动心。。。。无以言表

PDS9.png

初识PDS“庐山真面目”,界面还挺漂亮的,比altera,要漂亮些。

跑例程

下载得到正点原子的例程(4_SourceCode),解压,复制到工作目录(最好不好有中文路径,路径要浅,防止莫名错误,如我的E:\PDS_Workspace)->打开工程(1_flow_led流水灯全程,一般学嵌入式都是点灯开始)

open.png

哎呀又是“杯具”,版本过低,例程跑不起来

ver.png

还是用pds例程先体验一下吧(ADC例程)

PDS9.png

PDS3.png

Run下面的都执行一遍吧

PDS_run58.png

RTL(Verlog代码->电路)

RTL.png

时候不早了,明天 再带来重重装一下吧

继续昨晚的活,卸载旧版本,安装新版本(奇怪了,我在紫光同创官方下的是新的,正点原子还有更新的, 官网没这个版本, 百度下载有点慢)
PDSversion14.png

z7.png
此版本打开流水灯例程OK
led5.png

重复昨晚的编译动作run-all
连接正点原子下载器连接开发板
点击“Configuration”
dcon5.png

down.png

点击工具栏中的“Scan Device”图标(图中红框位置)扫描设备,扫描成功后界面
d06.png

扫描成功后双击“flow_led.sbit”或选择“flow_led.sbit”后点击“open”,之后右击芯片点击“program”

开始下载
d076.png

下载完成之后,我们可以看到开发板上的 LED0~LED3 按顺序点亮,呈现出流水灯的效果。
led7.png

到这里,这期工程就OK了

下期我用ModelSim仿真

更多回帖

发帖
×
20
完善资料,
赚取积分