FPGA|CPLD|ASIC论坛
直播中

jf_68001359

1年用户 5经验值
私信 关注
[问答]

AD9516-1 配置时钟 遇到的问题

首先采用了官网提供的评估软件对其进行配置。配置图见下009d5793cc2ae4d573986b38a3c778e.jpg
我通过使用示波器观察OUT2的输出正确与否,然后发现输出频率没有稳定,在198Mhz和201Mhz(后面还有小数点,但不太记得了)来回跳跃。然后后面查看了一些资料,datasheet对于0x1A位置的寄存器有如下的说明
7a68ac4af45fd257b8e677ed81ea76e4_.png
2f2c2d70ac318439a182b4a601230d62_.png

如果我没有理解错的话 那当我bits[5:0]==0的时候,DLD为低电平, 即low = unlock 也就是失锁。网上有不少人提出可能是失锁,但我没有找到相应的解决方案,我尝试换了不同频率输出,输出时钟的频率都不稳定。
好的,到此为止,第一种尝试告一段落,并且没有进一步的后续,到这里卡住了。
于是,我在该论坛浏览到其他人在硬件平台上通过SPI手动对寄存器进行配置,我也进行了类似的尝试,上板之后,问题似乎变得更加糟糕了。示波器能观察到有波形,但首先波形十分抖动,并且频率相差甚远,我要求输出频率20Mhz,但结果却在80k左右跳动。
通过ILA观察SPI是否正确输入,也的确是正确输入了。
好的,至此为止,两种方法都遇到了瓶颈,希望有人能分享一下你们的思路或是意见,我虚心求教,谢谢!

已退回1积分

回帖(1)

亦心林夕

2023-1-30 13:27:17
这个流程有跑吗?
举报

更多回帖

发帖
×
20
完善资料,
赚取积分