ARM技术论坛
直播中

张静

7年用户 1440经验值
私信 关注
[资料]

分享两个组合逻辑模块化设计实例以及仿真和上板演示过程

1、基于FPGA开发板的组合逻辑模块化设计实验

在设计复杂数字系统时,根据整个系统也就是顶层的功能需求进行分析,将复杂的系统功能分解为多个必要的子功能,依据这些子功能分别对各个功能模块进行设计,这些功能模块同样可以再继续分解为多个更底层的模块,这就是自顶向下的设计思想,也是目前主流的数字系统设计思想,而模块化设计就是遵循这一设计思想的重要设计方法。事实上,无论多么复杂的系统总能够逐步分解为多个小的功能模块,模块化设计可以令整个设计的思路更清晰,便于大型设计的分工合作和仿真测试,而且有助于设计文件的维护和复用。

原作者:语雀

更多回帖

发帖
×
20
完善资料,
赚取积分