FPGA|CPLD|ASIC论坛
直播中

苍澜xx

5年用户 3经验值
擅长:可编程逻辑 模拟技术
私信 关注
[问答]

在用quartuesII仿真加法器的时候出现如下错误,该如何解决呢

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity adder8 is
port(a,b:in std_logic_vector(7 downto 0);
cin:in std_logic;
sum:out std_logic_vector(7 downto 0);
cout:out std_logic);
end;
architecture bhv of adder8 is
signal a0,b0,cin0,s:std_logic_vector(8 downto 0);
begin
a0<=’0’&a;b0<=’0’&b;cin0<="00000000"&cin;
s<=a0+b0+cin0;
sum<=s(7 downto 0);
cout<=s(8);
end;


出现Error: Text Design File syntax error: Text Design File contains a symbolic name where ASSERT, CONSTANT, DEFINE, DESIGN, FUNCTION, OPTIONS, PARAMETERS, SUBDESIGN, or TITLE was expected  的错误,该如何解决

已退回1积分

回帖(1)

卿小小_9e6

2020-11-14 19:42:38
语法问题,或者说例化/instantiation不太规范,可能是某个变量未声明导致。
我不会VHDL,只能给你一个调试思路:注释掉大多数内容,然后挨个取消注释,可以定位到哪个变量使用不规范导致的问题。
举报

更多回帖

发帖
×
20
完善资料,
赚取积分