赛灵思
直播中

吴立节

7年用户 200经验值
私信 关注
[问答]

MAP使用行为代码中的专用硬件只得到LUT

嗨,
我用VHDL编写了一个带有行为描述的32位同步加法器。
我正在实现Spartan-6的设计,我想使用DSP48A1切片而不是通用逻辑。
合成后,我看到一个32位异步加法器和一个32位寄存器。
实现后,我只得到LUT而不是DSP片。
有人告诉我有一个关于编写这样的代码的指南,工具将识别为专用硬件。
我说的是行为描述,我知道它也可以通过实例化模板进行结构描述。
感谢您的帮助,
瓦茨拉夫·

以上来自于谷歌翻译


以下为原文

Hi,
I've written a 32 bit synchronous adder in VHDL with behavioral description. I'm implementing the design for a Spartan-6, and I would like to use the DSP48A1 slice instead of general logics. After synthesis, I see a 32 bit asynchronous adder and a 32 bit register. After implementation, I get only LUTs instead of a DSP slice. Someone told me there is a guide about writing such code that the tools will recognize as dedicated hardware. I'm speaking about behavioural description, I know it can be also done with structural description with instantiation template.
Thank you for your help,
Václav

回帖(6)

石俊梅

2018-10-22 11:19:20
嗨,
您可以在HDL中使用USE_DSP48属性来强制在DSP上实现逻辑。
有关约束使用的详细信息,请参阅http://www.xilinx.com/support/documentation/sw_manuals/xilinx14_2/xst_v6s6.pdf的第443页。
谢谢,
迪皮卡。
谢谢,迪皮卡.----------------------------------------------
---------------------------------------------- Google之前的问题
张贴。
如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。
如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星)
在原帖中查看解决方案

以上来自于谷歌翻译


以下为原文

Hi,
 
You can use USE_DSP48 attribute in HDL to force implementation of logic on to DSP.
 
Refer to page-443 of http://www.xilinx.com/support/documentation/sw_manuals/xilinx14_2/xst_v6s6.pdf for details on constraint usage.
 
Thanks,
Deepika.
Thanks,
Deepika.
--------------------------------------------------------------------------------------------
Google your question before posting. If someone's post answers your question, mark the post as answer with "Accept as solution". If you see a particularly good and informative post, consider giving it Kudos (the star on the left)View solution in original post
举报

石俊梅

2018-10-22 11:28:41
嗨,
您可以在HDL中使用USE_DSP48属性来强制在DSP上实现逻辑。
有关约束使用的详细信息,请参阅http://www.xilinx.com/support/documentation/sw_manuals/xilinx14_2/xst_v6s6.pdf的第443页。
谢谢,
迪皮卡。
谢谢,迪皮卡.----------------------------------------------
---------------------------------------------- Google之前的问题
张贴。
如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。
如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星)

以上来自于谷歌翻译


以下为原文

Hi,
 
You can use USE_DSP48 attribute in HDL to force implementation of logic on to DSP.
 
Refer to page-443 of http://www.xilinx.com/support/documentation/sw_manuals/xilinx14_2/xst_v6s6.pdf for details on constraint usage.
 
Thanks,
Deepika.
Thanks,
Deepika.
--------------------------------------------------------------------------------------------
Google your question before posting. If someone's post answers your question, mark the post as answer with "Accept as solution". If you see a particularly good and informative post, consider giving it Kudos (the star on the left)
举报

王海燕

2018-10-22 11:37:12
非常感谢,它的确有效。
我在实体声明中添加了: 
attribute use_dsp48:string; 
adder的属性use_dsp48:entity是“yes”;
我唯一不明白的是为什么DSP Slice实现比LUT实现慢?
我认为专用硬件总是比一般逻辑更快。

以上来自于谷歌翻译


以下为原文

Thank you very much, it works. I added within the entity declaration:
    attribute use_dsp48 : string;    attribute use_dsp48 of Adder : entity is "yes"; 
The only thing I don't understand is why is the DSP slice implementation slower than the LUT implementation? I thought dedicated hardware would be always faster than general logics.
举报

黄淳

2018-10-22 11:46:09
vaclav写道:
我唯一不明白的是为什么DSP Slice实现比LUT实现慢?
我认为专用硬件总是比一般逻辑更快。
也许是加法器的路由是缓慢的部分。
确保注册了加法器输入。
----------------------------是的,我这样做是为了谋生。

以上来自于谷歌翻译


以下为原文

vaclav wrote:
 
The only thing I don't understand is why is the DSP slice implementation slower than the LUT implementation? I thought dedicated hardware would be always faster than general logics.
Perhaps it's the routing into the adder that's the slow part. Make sure the adder input is registed.
----------------------------Yes, I do this for a living.
举报

更多回帖

发帖
×
20
完善资料,
赚取积分