第一步,用波形软件产生某波形数据文件,如Guagle_wave_波形Mif文件生成软件,可产生正弦、三角,锯齿等波形数据,后缀.mif,如果没有,则在第x不必须通过纷杂计算获得。 第二步,其次,在QuartusII开发软件建立项目。 第三部, 在QuartusII下,点击选取New/Memory/Hexadecimal(Intel-Fomat)File,如下图建立波形文件。 点击Ok后在新界面窗口输入必行点数Number,如64,128,256,512,1024… 位宽选8位,或更多。一般选8位。 出现hex1.hex如图, 在填入数据后保存为与项目名称相同的.hex文件,如sindata.hex,数据填入先打开第一步产生的后缀为.mif的文件如图: 用鼠标全选复制粘贴到.hex文件中,保存。关闭mif文件。 第四部:选择Tools如图 直接下一步next Finsh后就生成了一个sinxdata的波形数据项目文件,综合后就可元件化使用。 Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity sinx is Port(clk:in std_logic; Q:out std_logic_vector(7 downto 0)); end; Architecture ss of sinx is signal qq:std_logic_vector(9 downto 0); component sinxdata IS --调用刚生成的波形数据文件。 PORT ( address : IN STD_LOGIC_VECTOR (9 DOWNTO 0); clock : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); end component; begin process(clk) begin if clk'event and clk='1' then qq<=qq+1; end if; end process; U:sinxdata port map(qq,clk,q); end; |
更多回帖