FPGA|CPLD|ASIC论坛
直播中

李达

7年用户 5经验值
擅长:自动化
私信 关注
[问答]

基于FPGA用Verilog HDL设计一个数字时钟

利用开发板上的十六进制7段数码显示译码器设计一个标准数字时钟,八个数码管分别显示小时、分钟、秒,显示格式为24小时进制格式具备按键清零功能
用的是cyclone
代码有注释的话最好

回帖(5)

孙一闪

2017-6-11 16:35:33
帮顶
举报

胖胖熊啊

2017-6-11 21:14:17
不要做伸手party嘛
举报

孙成

2017-6-12 20:15:14
呵呵   好像是老师在给学生布置作业的赶脚。。。
举报

Oswald_

2017-6-13 22:51:47
只能帮顶咯
举报

殷工

2017-6-16 14:58:31
作业还是要自己做的
举报

更多回帖

发帖
×
20
完善资料,
赚取积分