完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity fp is generic (data_width : integer := 8 ); port(input : in std_logic_vector(data_width-1 downto0); clk_in : instd_logic; clk_out :out std_logic); end entity fp; architecture div of fp is signal clk_outQ : std_logic ; signal coutQ : std_logic_vector (data_width - 1downto 0); signal cout1,cout2 : std_logic_vector(data_width - 1downto 0); signal clk1,clk2 : std_logic; signal a : std_logic; begin ------------------------------------------------- process(clk_in) begin ifclk_in'event and clk_in = '1' then if coutQ< (conv_integer(input) - 1) then coutQ <=coutQ + 1; else coutQ<= (others => '0'); end if; end if; end process; --------------------------------------------------- process(coutQ) begin if coutQ <(conv_integer(input))/2 then clk_outQ<= '0'; else clk_outQ<= '1'; end if; end process; --------------------------------------------------- process(clk_in)------rising edge begin if clk_in'event and clk_in='1' then if cout1 <(conv_integer(input)-1) then cout1 <=cout1 + 1; else cout1<= (others => '0'); end if; if cout1 <(conv_integer(input)-1)/2 then clk1 <='1'; else clk1<= '0'; end if; end if; end process; --------------------------- process(clk_in)------falling edge begin if clk_in'event and clk_in='0' then if cout2 <(conv_integer(input)-1) then cout2 <=cout2 + 1; else cout2<= (others => '0'); end if; if cout2 <(conv_integer(input)-1)/2 then clk2 <='1'; else clk2<= '0'; end if; end if; end process; --------------------------- process(clk_outQ,clk1,clk2) begin if((conv_integer(input) mod 2)=0) then clk_out<= clk_outQ; else clk_out<= clk1 or clk2; end if; end process; end architecture div; 总是说不能编译。With mismatch in relational operator。我用了中间变量把IF里面的换出来也不行。 帮忙改改。。。。 |
|
相关推荐
|
|
只有小组成员才能发言,加入小组>>
请问一个迭代法图形二值化的程序代码把宽改成240之后整个屏幕不显示是什么原因?
2674 浏览 0 评论
2667 浏览 1 评论
1904 浏览 1 评论
2060 浏览 2 评论
2623 浏览 2 评论
738浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-12-30 22:46 , Processed in 0.359252 second(s), Total 46, Slave 40 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号