完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
电子发烧友论坛扫一扫,分享给好友
|
大家好。
我是VHDL的新手,很抱歉新手问题。 无论如何,我想知道如何将零推入fifo。 我已经生成了下一个组件 组件fifo_generator_v6_2端口(clk:IN std_logic; rst:IN std_logic; din:IN std_logic_VECTOR(127 downto 0); wr_en:IN std_logic; rd_en:IN std_logic; prog_full_thresh:IN std_logic_VECTOR(9 downto 0); dout:OUT std_logic_VECTOR(127 downto 0); full:OUT std_logic; almost_full:OUT std_logic; empty:OUT std_logic; prog_full:OUT std_logic); 最终组件; 显然我无法控制输入,这个动作有没有特殊的引脚? 另一件事,在项目中我需要验证数据,在这种情况下它是一个简单的计数器。 如果没有访问输出,我怎么能这样做? 非常感谢。 阿萨夫。 到目前为止,这是我的代码 -------------------------------------------------- ----------------------------------公司: - 工程师: - - 创建日期:16:48 :47 11/03/2011 - 设计名称: - 模块名称:Lat - A_fifo - 项目名称: - 目标设备: - 工具版本: - 描述:----依赖关系:----修订版 : - 修订版0.01 - 文件创建 - 附加评论:------------------------------------- ----------------------------------------------- library IEEE; 使用IEEE.STD_LOGIC_1164.ALL;使用IEEE.std_logic_unsigned.All; - 如果使用带有符号或无符号值的算术函数,则取消注释以下库声明 - 使用IEEE.NUMERIC_STD.ALL; - 如果实例化,则取消注释以下库声明 - 此代码中的任何Xilinx原语.--库UNISIM; - 使用UNISIM.VComponents.all;实体Lat是端口(clk:IN std_logic; rst:IN std_logic; ctrl:IN std_logic_VECTOR(1 downto 0); en: IN STD_LOGIC; din:IN std_logic_VECTOR(127 downto 0); - wr_en:IN std_logic; - rd_en:IN std_logic; dout: OUT std_logic_VECTOR(127 downto 0); - full:OUT std_logic; - almost_full:OUT std_logic; - empty:OUT std_logic; 有效:OUT std_logic);结束Lat;架构A_fifo的Lat是 - 组件组件fifo_generator_v6_2端口(clk:IN std_logic; rst:IN std_logic; din:IN std_logic_VECTOR(127 downto 0); wr_en:IN std_logic; rd_en:IN std_logic ; prog_full_thresh:IN std_logic_VECTOR(9 downto 0); dout:OUT std_logic_VECTOR(127 downto 0); full:OUT std_logic; almost_full:OUT std_logic; empty:OUT std_logic; prog_full:OUT std_logic); 最终组件; - 信号信号cass:std_logic_vector(127 DOWNTO 0):=(OTHERS =>'0'); - 对于验证信号cass1:std_logic_vector(9 DOWNTO 0):=(OTHERS =>'0'); - 用于检查信号prog_full的字数:std_logic; 信号wr_en:std_logic; signal rd_en:std_logic; 信号prog_full_thresh:std_logic_VECTOR(9 downto 0); 信号为空:std_logic; 信号满:std_logic; 信号almost_full:std_logic; - 常量常量temp:std_logic_VECTOR(127 DOWNTO 0):=(OTHERS =>'1'); 常量temp1:std_logic_VECTOR(9 DOWNTO 0):=(9 =>'1',OTHERS =>'0'); 常量temp2:std_logic_VECTOR(127 DOWNTO 0):=(127 =>'1',OTHERS =>'0'); 开始fifo1:fifo_generator_v6_2端口映射(clk => clk,rst => rst,din => din,wr_en => wr_en,rd_en => rd_en,prog_full_thresh => prog_full_thresh,dout => dout,full => full,almost_full => almost_full,empty => empty,prog_full => prog_full); 进程(rst,clk)开始if(rst ='1')然后dout'0'); 有效elsif(clk ='1')和clk'EVENT然后有效 - 设置prog_full_thresh case ctrl是“00”=> prog_full_thresh当“01”=> prog_full_thresh时“10”=> prog_full_thresh当其他=> null; 结束案例; - 如果我们还没有到达数据的末尾if(cass1 / = temp)那么 - 如果我们还没有达到fifo阈值if(prog_full ='0')那么 - If(enable = 0)推零和 不要弹出if(en ='0')然后 - 如何在fifo中推零? 有效 - 如果(enable = 1)推送数据,不要弹出,并且inc cass1 elsif(en ='1')则dout'0'); wr_en有效cass1 else null; 万一; - 如果我们已经达到fifo阈值elsif(prog_full ='1')那么 - 如果(enable = 0)推零,弹出,有效和inc cass if(en ='0')那么 - 我怎么推 在fifo中为零? rd_en if(cass / = dout)那么 - 相同的时钟? 有效结束; cass - 如果(enable = 1)推送数据,pop,valid,inc cass1和cass elsif(en ='1')则wr_en rd_en if(cass / = dout)然后有效结束if; cass cass1 else null; 万一; 否则为null; 万一; - 如果我们已经到达数据的末尾但是fifo还没有空 - pop,valid,inc cass elsif((cass1 = temp)和(empty ='0'))那么rd_en if(cass / = dout)然后有效 万一; cass - 如果我们已经到达数据的末尾并且fifo是空的 - 什么都不做elsif((cass1 = temp)和(empty ='1'))然后dout'0'); 有效的其他null; 万一; 否则为null; 万一; 结束过程; 结束A_fifo; 以上来自于谷歌翻译 以下为原文 Hey guys. I'm new to VHDL, so sorry for the newbie questions. Anyway, I would like to know how can I push a zero into a fifo. I have generated the next component component fifo_generator_v6_2 port ( clk: IN std_logic; rst: IN std_logic; din: IN std_logic_VECTOR(127 downto 0); wr_en: IN std_logic; rd_en: IN std_logic; prog_full_thresh: IN std_logic_VECTOR(9 downto 0); dout: OUT std_logic_VECTOR(127 downto 0); full: OUT std_logic; almost_full: OUT std_logic; empty: OUT std_logic; prog_full: OUT std_logic); end component; Obviously I can't control the input, is there a special pin for this action? Another thing, in the project I need to validate the data, in this case it's a simple counter. How can I do it without have access to the output? Thanks a lot. Assaf. Here is my code so far ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:48:47 11/03/2011 -- Design Name: -- Module Name: Lat - A_fifo -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.All; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Lat is port ( clk: IN std_logic; rst: IN std_logic; ctrl : IN std_logic_VECTOR(1 downto 0); en : IN STD_LOGIC; din: IN std_logic_VECTOR(127 downto 0); -- wr_en: IN std_logic; -- rd_en: IN std_logic; dout: OUT std_logic_VECTOR(127 downto 0); -- full: OUT std_logic; -- almost_full: OUT std_logic; -- empty: OUT std_logic; valid: OUT std_logic ); end Lat; architecture A_fifo of Lat is -- component component fifo_generator_v6_2 port ( clk: IN std_logic; rst: IN std_logic; din: IN std_logic_VECTOR(127 downto 0); wr_en: IN std_logic; rd_en: IN std_logic; prog_full_thresh: IN std_logic_VECTOR(9 downto 0); dout: OUT std_logic_VECTOR(127 downto 0); full: OUT std_logic; almost_full: OUT std_logic; empty: OUT std_logic; prog_full: OUT std_logic); end component; -- signals signal cass: std_logic_vector (127 DOWNTO 0):=(OTHERS=>'0'); -- For validation signal cass1: std_logic_vector (9 DOWNTO 0):=(OTHERS=>'0'); -- For checking the number of words signal prog_full: std_logic; signal wr_en: std_logic; signal rd_en: std_logic; signal prog_full_thresh: std_logic_VECTOR(9 downto 0); signal empty: std_logic; signal full: std_logic; signal almost_full: std_logic; -- constants constant temp : std_logic_VECTOR(127 DOWNTO 0) := (OTHERS => '1'); constant temp1 : std_logic_VECTOR(9 DOWNTO 0) := (9=>'1', OTHERS=>'0'); constant temp2 : std_logic_VECTOR(127 DOWNTO 0) := (127=>'1', OTHERS=>'0'); begin fifo1 : fifo_generator_v6_2 port map ( clk => clk, rst => rst, din => din, wr_en => wr_en, rd_en => rd_en, prog_full_thresh => prog_full_thresh, dout => dout, full => full, almost_full => almost_full, empty => empty, prog_full => prog_full); process(rst, clk) begin if (rst = '1') then dout <= (OTHERS=>'0'); valid <= '0'; elsif (clk = '1') and clk'EVENT then valid <= '0'; -- inital value -- setting prog_full_thresh case ctrl is when "00" => prog_full_thresh <= "0001100011"; --99 when "01" => prog_full_thresh <= "0011000111"; --199 when "10" => prog_full_thresh <= "0100101011"; --299 when others => null; end case; -- If we have not reached the end of data yet if (cass1 /= temp) then -- if we have not reached the fifo threshold if (prog_full = '0') then -- If (enable = 0) push zero and dont pop if (en = '0') then -- How do I push zero in the fifo? valid <='0'; -- If (enable = 1) push data, dont pop, and inc cass1 elsif (en ='1') then dout <= (OTHERS=>'0'); wr_en <= '1'; valid <='0'; cass1 <= cass1 + temp1; else null; end if; -- if we have reached the fifo threshold elsif (prog_full = '1') then -- If (enable = 0) push zero, pop, valid and inc cass if (en = '0') then -- How do I push zero in the fifo? rd_en <= '1'; if (cass /= dout) then -- same clock? valid <= '1'; -- mismatch = (valid <= 1) end if; cass <= cass + temp2; -- If (enable = 1) push data, pop, valid, inc cass1 and cass elsif (en ='1') then wr_en <= '1'; rd_en <= '1'; if (cass /= dout) then valid <= '1'; -- mismatch = (valid <= 1) end if; cass <= cass + temp2; cass1 <= cass1 + temp1; else null; end if; else null; end if; -- If we have reached the end of data but fifo not empty yet -- pop, valid, inc cass elsif ((cass1 = temp) and (empty = '0')) then rd_en <= '1'; if (cass /= dout) then valid <= '1'; -- mismatch = (valid <= 1) end if; cass <= cass + temp2; -- If we have reached the end of data and fifo is empty -- do nothing elsif ((cass1 = temp) and (empty = '1')) then dout <= (OTHERS => '0'); valid <='0'; else null; end if; else null; end if; end process; end A_fifo; |
|
相关推荐
5个回答
|
|
|
显然我无法控制输入,这个动作有没有特殊的引脚?
为什么这个“显而易见”? 如果您可以控制读写,为什么还不能控制正在写入的数据值? 如果我误解了你的问题,那么请接受我的道歉。 - 鲍勃埃尔金德 签名:新手的自述文件在这里:http://forums.xilinx.com/t5/New-Users-Forum/README-first-Help-for-new-users/td-p/219369总结:1。 阅读手册或用户指南。 你读过手册了吗? 你能找到手册吗?2。 搜索论坛(并搜索网页)以寻找类似的主题。 不要在多个论坛上发布相同的问题。 不要在别人的主题上发布新主题或问题,开始新的主题!5。 学生:复制代码与学习设计不同.6“它不起作用”不是一个可以回答的问题。 提供有用的详细信息(请与网页,数据表链接).7。 您的代码中的评论不需要支付额外费用。 我没有支付论坛帖子的费用。 如果我写一篇好文章,那么我一无所获。 以上来自于谷歌翻译 以下为原文 Obviously I can't control the input, is there a special pin for this action? Why is this 'obvious'? If you can control reading and writing, why can't you also control the data value being written? If I misunderstood your question, then please accept my apology. -- Bob Elkind SIGNATURE: README for newbies is here: http://forums.xilinx.com/t5/New-Users-Forum/README-first-Help-for-new-users/td-p/219369 Summary: 1. Read the manual or user guide. Have you read the manual? Can you find the manual? 2. Search the forums (and search the web) for similar topics. 3. Do not post the same question on multiple forums. 4. Do not post a new topic or question on someone else's thread, start a new thread! 5. Students: Copying code is not the same as learning to design. 6 "It does not work" is not a question which can be answered. Provide useful details (with webpage, datasheet links, please). 7. You are not charged extra fees for comments in your code. 8. I am not paid for forum posts. If I write a good post, then I have been good for nothing. |
|
|
|
|
|
好吧,如果我写下面的'0');我得到这个错误错误:HDLCompiler:294 - “ study state_machine Lat.vhd”第137行:无法更新'in'对象din输入来自Test Bench,
对? 以上来自于谷歌翻译 以下为原文 Well, if I write the following din <= (OTHERS=>'0'); I get this error ERROR:HDLCompiler:294 - "studystate_machineLat.vhd" Line 137: Cannot update 'in' object din The input comes from the Test Bench, right? |
|
|
|
|
|
assaf_malki写道:好吧,如果我写下面的'0');我得到这个错误ERROR:HDLCompiler:294 - “ study state_machine Lat.vhd”第137行:无法更新'in'对象din输入来自测试台
, 对? 输入来自实例化组件的任何实体。 它可能是一个测试台或 它可以是分层设计中的更高级别的实体。 你不能“控制”输入或 “可以访问”组件中组件的输出。 但是代码那个 实例化组件就是这样做的。 如果需要向FIFO添加功能 你从Core Generator获得,然后我建议写一个实例化的“包装器” 核心并添加您需要的功能。 听起来你刚刚开始使用VHDL并且可以在概念中使用一些帮助 分层设计。 - Gabor - Gabor 以上来自于谷歌翻译 以下为原文 assaf_malki wrote:The input comes from whatever entity instantiates the component. It could be a test bench or it could be a higher-level entity in a hierarchical design. You cannot "control" the input or "have access to" the output of a component within the component. However the code that instantiates the component does exactly that. If you need to add functionality to the FIFO you get from Core Generator, then I would suggest writing a "wrapper" that instantiates the core and adds the functionality you need. It sounds like you're just getting started in VHDL and could use a little help in the concepts of hierarchical design. -- Gabor -- Gabor |
|
|
|
|
|
耶,当然了。
我刚开始在一家公司工作,并尽可能多地学习。 无论如何,我完成了我的代码,现在我想测试它,我需要编写一个测试平台。 代码如下所示: -------------------------------------------------- ----------------------------------公司: - 工程师: - - 创建日期:16:48 :47 11/03/2011 - 设计名称: - 模块名称:Lat - A_fifo - 项目名称: - 目标设备: - 工具版本: - 描述:----依赖关系:----修订版 : - 修订版0.01 - 文件创建 - 附加评论:------------------------------------- ----------------------------------------------- library IEEE; 使用IEEE.STD_LOGIC_1164.ALL;使用IEEE.std_logic_unsigned.All; - 如果使用带有符号或无符号值的算术函数,则取消注释以下库声明 - 使用IEEE.NUMERIC_STD.ALL; - 如果实例化,则取消注释以下库声明 - 此代码中的任何Xilinx原语.--库UNISIM; - 使用UNISIM.VComponents.all;实体Lat是端口(clk:IN std_logic; rst:IN std_logic; ctrl:IN std_logic_VECTOR(1 downto 0); en: IN STD_LOGIC; din:IN std_logic_VECTOR(127 downto 0); dout:OUT std_logic_VECTOR(127 downto 0);有效:OUT std_logic);结束Lat; Lat的架构A_fifo是 - 组件组件fifo_generator_v6_2_1端口(clk:IN std_logic; rst:IN std_logic; din:IN std_logic_VECTOR(127 downto 0); wr_en:IN std_logic; rd_en:IN std_logic; dout:OUT std_logic_VECTOR(127 downto 0); 满:OUT std_logic; 空:OUT std_logic; data_count:OUT std_logic_VECTOR(9 downto 0)); 最终组件; - 信号信号cass:std_logic_vector(127 DOWNTO 0):=(OTHERS =>'0'); - 对于验证信号cass1:std_logic_vector(9 DOWNTO 0):=(OTHERS =>'0'); - 用于检查信号din1:std_logic_VECTOR(127 downto 0)的字数; 信号dout1:std_logic_VECTOR(127 downto 0); 信号wr_en:std_logic; signal rd_en:std_logic; signal data_count:std_logic_VECTOR(9 downto 0); 信号阈值:std_logic_VECTOR(9 downto 0); 信号为空:std_logic; 信号满:std_logic; - 常量常量temp:std_logic_VECTOR(9 DOWNTO 0):=(OTHERS =>'1'); --Usage:常量temp1的字数:std_logic_VECTOR(9 DOWNTO 0):=(0 =>'1',OTHERS =>'0'); - 用法:Inc cass1 constant temp2:std_logic_VECTOR(127 DOWNTO 0):=(0 =>'1',OTHERS =>'0'); - 用法:inc计数器开始fifo1:fifo_generator_v6_2_1端口映射(clk => clk,rst => rst,din => din1,wr_en => wr_en,rd_en => rd_en,dout => dout1,full => full,为空 => empty,data_count => data_count); 进程(rst,clk)开始if(rst ='1')然后dout'0'); 有效elsif(clk ='1')和clk'EVENT然后有效 - 设置prog_full_thresh case ctrl是当“01”=>阈值时“01”=>阈值当“10”=>阈值时其他=> null; 结束案例; - 如果我们还没有到达数据的末尾,如果(cass1 / = temp)那么 - 如果我们还没有达到fifo阈值if(data_count / = threshold)那么 - If(enable = 0)push zero and dont pop if(en ='0')then din1'0'); wr_en valid - 如果(enable = 1)推送数据,不要弹出,并且inc cass1 elsif(en ='1')则dout'0'); din1 wr_en有效cass1 else null; 万一; - 如果我们已达到fifo阈值elsif(data_count = threshold)则 - If(enable = 0)push zero,pop,valid和inc cass if(en ='0')then din1'0'); wr_en rd_en dout if(cass / = dout1)那么 - 同一时钟? 有效结束; cass - 如果(enable = 1)push data,pop,valid,inc cass1和cass elsif(en ='1')则din1 wr_en rd_en dout if(cass / = dout1)然后有效结束if; cass cass1 else null; 万一; 否则为null; 万一; - 如果我们已经到达数据的末尾但是fifo还没有空 - pop,valid,inc cass elsif((cass1 = temp)和(empty ='0'))则rd_en dout if(cass / = dout1)then 有效结束; cass - 如果我们已经到达数据的末尾并且fifo是空的 - 什么都不做elsif((cass1 = temp)和(empty ='1'))然后dout'0'); 有效的其他null; 万一; 否则为null; 万一; 结束过程; 结束A_fifo; -------------------------------------------------- -------------------------------- 它基本上使用fifo +验证数据延迟。 输入基本上是一个计数器。 我的问题是我需要一个循环才能检查它吗? 我想在TB中制作一个计数器并将其用作输入,我该怎么做? 非常感谢。 以上来自于谷歌翻译 以下为原文 Yeah, for sure. I just started working at a company and trying to learn as much as I can. Anyway, I finished with my code and now I want to test it, I need to write a test bench. The code looks like this: ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:48:47 11/03/2011 -- Design Name: -- Module Name: Lat - A_fifo -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.All; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Lat is port ( clk: IN std_logic; rst: IN std_logic; ctrl : IN std_logic_VECTOR(1 downto 0); en : IN STD_LOGIC; din: IN std_logic_VECTOR(127 downto 0); dout: OUT std_logic_VECTOR(127 downto 0); valid: OUT std_logic ); end Lat; architecture A_fifo of Lat is -- component component fifo_generator_v6_2_1 port ( clk: IN std_logic; rst: IN std_logic; din: IN std_logic_VECTOR(127 downto 0); wr_en: IN std_logic; rd_en: IN std_logic; dout: OUT std_logic_VECTOR(127 downto 0); full: OUT std_logic; empty: OUT std_logic; data_count: OUT std_logic_VECTOR(9 downto 0)); end component; -- signals signal cass: std_logic_vector (127 DOWNTO 0):=(OTHERS=>'0'); -- For validation signal cass1: std_logic_vector (9 DOWNTO 0):=(OTHERS=>'0'); -- For checking the number of words signal din1: std_logic_VECTOR(127 downto 0); signal dout1: std_logic_VECTOR(127 downto 0); signal wr_en: std_logic; signal rd_en: std_logic; signal data_count: std_logic_VECTOR(9 downto 0); signal threshold: std_logic_VECTOR(9 downto 0); signal empty: std_logic; signal full: std_logic; -- constants constant temp : std_logic_VECTOR(9 DOWNTO 0) := (OTHERS => '1'); --Usage: Number of words constant temp1 : std_logic_VECTOR(9 DOWNTO 0) := (0=>'1', OTHERS=>'0'); -- Usage: Inc cass1 constant temp2 : std_logic_VECTOR(127 DOWNTO 0) := (0=>'1', OTHERS=>'0'); -- Usage: inc the counter begin fifo1 : fifo_generator_v6_2_1 port map ( clk => clk, rst => rst, din => din1, wr_en => wr_en, rd_en => rd_en, dout => dout1, full => full, empty => empty, data_count => data_count); process(rst, clk) begin if (rst = '1') then dout <= (OTHERS=>'0'); valid <= '0'; elsif (clk = '1') and clk'EVENT then valid <= '0'; -- inital value -- setting prog_full_thresh case ctrl is when "00" => threshold <= "0001100011"; --99 when "01" => threshold <= "0011000111"; --199 when "10" => threshold <= "0100101011"; --299 when others => null; end case; -- If we have not reached the end of data yet if (cass1 /= temp) then -- if we have not reached the fifo threshold if (data_count /= threshold) then -- If (enable = 0) push zero and dont pop if (en = '0') then din1 <= (OTHERS=>'0'); wr_en <= '1'; valid <='0'; -- If (enable = 1) push data, dont pop, and inc cass1 elsif (en ='1') then dout <= (OTHERS=>'0'); din1 <= din; wr_en <= '1'; valid <='0'; cass1 <= cass1 + temp1; else null; end if; -- if we have reached the fifo threshold elsif (data_count = threshold) then -- If (enable = 0) push zero, pop, valid and inc cass if (en = '0') then din1 <= (OTHERS=>'0'); wr_en <= '1'; rd_en <= '1'; dout <= dout1; if (cass /= dout1) then -- same clock? valid <= '1'; -- mismatch = (valid <= 1) end if; cass <= cass + temp2; -- If (enable = 1) push data, pop, valid, inc cass1 and cass elsif (en ='1') then din1 <= din; wr_en <= '1'; rd_en <= '1'; dout <= dout1; if (cass /= dout1) then valid <= '1'; -- mismatch = (valid <= 1) end if; cass <= cass + temp2; cass1 <= cass1 + temp1; else null; end if; else null; end if; -- If we have reached the end of data but fifo not empty yet -- pop, valid, inc cass elsif ((cass1 = temp) and (empty = '0')) then rd_en <= '1'; dout <= dout1; if (cass /= dout1) then valid <= '1'; -- mismatch = (valid <= 1) end if; cass <= cass + temp2; -- If we have reached the end of data and fifo is empty -- do nothing elsif ((cass1 = temp) and (empty = '1')) then dout <= (OTHERS => '0'); valid <='0'; else null; end if; else null; end if; end process; end A_fifo; ---------------------------------------------------------------------------------- It's basically making a delay using a fifo + validating the data. The input is basically a counter. My question is do I need a loop in order to check it? I want to make a counter in the TB and use it as an input, how can I do that? Thanks a lot. |
|
|
|
|
|
“我想在TB中制作一个计数器并将其用作输入,我该怎么做?”
http://www.lmgtfy.com/?q=vhdl+counter+example ------------------------------------------“如果它不起作用 模拟,它不会在板上工作。“ 以上来自于谷歌翻译 以下为原文 "I want to make a counter in the TB and use it as an input, how can I do that?" http://www.lmgtfy.com/?q=vhdl+counter+example ------------------------------------------ "If it don't work in simulation, it won't work on the board." |
|
|
|
|
只有小组成员才能发言,加入小组>>
3118 浏览 7 评论
3407 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2874 浏览 9 评论
3966 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
3057 浏览 15 评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
1325浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
1167浏览 1评论
/9
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2025-12-2 09:19 , Processed in 0.793603 second(s), Total 80, Slave 63 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191

淘帖
2326
