aaditi写道:
我在VHDL代码中遇到问题。
在xylinx ISE 11.1中合成时,会生成以下警告
“警告:Xst:647 - 输入>从不使用。如果该端口属于顶级块或者属于子块,则该端口将被保留并保持未连接状态,并保留该子块的层次结构。”
但是在程序中我通过使用“for loop”使用了所有输入。
您可以查看代码吗?告诉我该怎么做才能克服这个问题。
图书馆IEEE;
使用IEEE.STD_LOGIC_1164.ALL;
使用IEEE.STD_LOGIC_ARITH.ALL;
使用IEEE.STD_LOGIC_UNSIGNED.ALL;
实体ep是
port(lr_r_ep:在std_logic_vector(1到32); ep_xorp:out std_logic_vector(1到48));
结束ep;
ep的架构aaditi是
信号a:整数范围0到32;
类型ep_ROM是整数范围1到32的数组(1到48);
常数A1:ep_ROM:=
(32,1,2,3,4,5,
4,5,6,7,8,9,
8,9,10,11,12,13,
12,13,14,15,16,17,
16,17,18,19,20,21,
20,21,22,23,24,25,
24,25,26,27,28,29,
28,29,30,31,32,1);
开始
处理(lr_r_ep)
开始
loop1:for 1 in 1 loop
一个ep_xorp(i)
结束过程;
结束aaditi;
您正在使用非阻塞信号分配(对a的赋值是重要的),您的过程的灵敏度列表是不完整的,因此合成器优化了大部分逻辑。
----------------------------是的,我这样做是为了谋生。
以上来自于谷歌翻译
以下为原文
aaditi wrote:
I am having a problem in a VHDL code. On synthesizing in xylinx ISE 11.1 the following warning is generated "WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved."but in the program i have used all my inputs by using "for loop". Can u please look through the code n tell me what should i do to overcome this prob. library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity ep isport (lr_r_ep : in std_logic_vector (1 to 32); ep_xorp : out std_logic_vector( 1 to 48 ));end ep;architecture aaditi of ep issignal a: integer range 0 to 32;type ep_ROM is array (1 to 48) of integer range 1 to 32;constant A1 : ep_ROM :=(32, 1, 2, 3, 4, 5, 4, 5, 6, 7, 8, 9, 8, 9, 10, 11, 12, 13, 12, 13, 14, 15, 16, 17, 16, 17, 18, 19, 20, 21, 20, 21, 22, 23, 24, 25, 24, 25, 26, 27, 28, 29, 28, 29, 30, 31, 32, 1 );beginprocess(lr_r_ep)beginloop1: for i in 1 to 48 loop a <= A1(i); ep_xorp(i) <= lr_r_ep(a);end loop loop1;end process; end aaditi;
You're using non-blocking signal assignments (the assignment to a is what matters), your process's sensitivity list is incomplete, and as a result the synthesizer optimizes away most of the logic.
----------------------------Yes, I do this for a living.
|
|
|
2019-1-17 10:03:09
评论
举报
|
|
|
|