module Framebuffer_VGA( input wire clk_50m, input wire reset_n, output wire vga_clk, output wire vga_de, output wire [7:0] vga_r, output wire [7:0] vga_g, output wire [7:0] vga_b, output wire vga_hs, output wire vga_vs, output wire vga_bl, output wire sdram_clk, output wire [11:0] sdram_addr, output wire [1:0] sdram_ba, output wire sdram_cas_n, output wire sdram_cke, output wire sdram_cs_n, inout wire [15:0] sdram_dq, output wire [1:0] sdram_dqm, output wire sdram_ras_n, output wire sdram_we_n, output wire epcs_dclk, output wire epcs_sce, output wire epcs_sdo, input wire epcs_data0 ); assign vga_bl = 1; wire vga_clk_r; assign vga_clk = ~vga_clk_r; //对VGA时钟反相,以保证数据中心对齐 mysystem u0 ( .clk_50m_clk (clk_50m), .reset_50m_reset_n (reset_n), .vga_clk (vga_clk_r), .vga_de (vga_de), .vga_r (vga_r), .vga_g (vga_g), .vga_b (vga_b), .vga_hs (vga_hs), .vga_vs (vga_vs), .altpll_0_phasedone_conduit_export (), .altpll_0_locked_conduit_export (), .altpll_0_areset_conduit_export (), .epcs_dclk (epcs_dclk), .epcs_sce (epcs_sce), .epcs_sdo (epcs_sdo), .epcs_data0 (epcs_data0), .sdram_clk_clk (sdram_clk), .sdram_addr (sdram_addr), .sdram_ba (sdram_ba), .sdram_cas_n (sdram_cas_n), .sdram_cke (sdram_cke), .sdram_cs_n (sdram_cs_n), .sdram_dq (sdram_dq), .sdram_dqm (sdram_dqm), .sdram_ras_n (sdram_ras_n), .sdram_we_n (sdram_we_n) ); endmodule |