发 帖  
【米尔-瑞萨RZ/G2UL开发板】5.CAN通讯进阶CANopen

1 CANopen协议从 OSI 的 7 层网络模型的角度来看同,CAN(Controller Area Network)现场总线仅仅 定义了第 1 层(物理层,见ISO11898-2 标准)、第 2 层(数据链路层, ...

【米尔-瑞萨RZ/G2UL开发板】6.基于SOEM的EtherCat主站

1.  EtherCAT简介准备工作EtherCAT(Ethernet Control Automation Technology)是一种高性能实时以太网通信协议,用于在工业自动化领域中进行实时控制和通信。 ...

【LicheeRV-Nano开发套件试用体验】【更新主贴】高频电力计算终端开发

**申请理由:**目前在公司使用的众多产品中,以传统单片机为核心,高频采集数据,并上传。同时在上产数据时对数据进行清洗和计算,降低服务器负担,增加计算实时性。**项 ...

MCU友好过渡MPU,米尔基于STM32MP135开发板裸机开发应用笔记

以前微处理器(MPU)与微控制器(MCU)是截然不同的两种设备,MPU支持丰富的软件系统,如Linux和相关的软件堆栈,而MCU通常将专注于裸机和RTOS。近年来,随着MCU的性能越来 ...

I.MX6ULL-飞凌 ElfBoard ELF1板卡- 应用层更改引脚复用的方法

在嵌入式系统设计中,引脚复用功能通常是通过设备树(Device Tree)预先配置设定的。出厂的设备树中UART2_TX_DATA和UART2_RX_DATA两个引脚被复用成了UART2功能,如果想要在不 ...

2024年小米汽车产业链分析及新品上市全景洞察报告

2024年小米汽车产业链分析及新品上市全景洞察报告*附件:小米汽车全面洞察报告.pdf本文主要介绍了小米汽车在市场中的布局和优势,以及其面临的劣势与挑战。小米汽车凭借 ...

CST92F25系列问题集

1.问:P01 引脚作为数字外设输入输出功能时无法使用收不到数据?答: P01 无法作为IOMUX 功能,不能配置为数字外设复用功能。2.问:当在P23引脚输入或输出一个高于10KHz ...

影响485通信的因素有哪些?

现在搭建一个485通讯测试平台,用电脑485软件周期性发送三条指令,当波特率在9600时,基本确保每条指令都能接收。但是当波特率升高到19200时,回复报文基本报错1/3,排除软 ...

3D打印遥控气垫船、微型步行机器人、变压器式实验室电源|DF创客周刊(第77期)

社区公众号记录每周值得分享的创客相关内容,每周五发布~图片&视频3D打印遥控气垫船(IPACV-3D)这款名为IPACV-3D的3D打印遥控气垫船,不仅外形时尚,功能上也大有看 ...

变频器的电抗器怎么选型?

变频器的三大电抗器,输入电抗器,直流电抗器,输出电抗器,这三个电抗器是按照什么选型的?1、对于输入输出电抗器,三相电抗器的感量如何计算?有什么理论依据?2、选型 ...

FPGA板子可以跑Qt应用程序吗

Qt作为一款跨平台GUI图形界面设计软件,她可以在windows、Linux上运行,没听说过在FPGA的板子上运行Qt程序呢?

FPGA零基础学习系列精选:半导体存储器和可编程逻辑器件简介

半导体存储器和可编程逻辑器件简介在设计一个复杂的数字系统后,在用量不大的情况下,设计和制造这样的专用集成电路不仅成本很 ...

cmos的slvs-ec协议40针引脚该如何连接到xilinx a7开发版上?

我有一块集成了sony的cmos的板子,cmos的图像数据走的是SLVS-EC协议,数据走的是0.4mm间隔的40针的接口,如图:请问这个接口该如何接线到xilinx的a7板子,该买什么规格 ...

如何用Arduino制作一个简易自动喂鱼器

如果你家里养有鱼,并想找到一种自动化喂食的方法,这个项目可能会对你有所启发。在这个教程中,作者将展示如何制作自己的基于Arduino的自动喂鱼器,让小鱼不在饿肚子。 ...

参考设计!空调室外机控制电路

该参考设计提供了通过一个MCU控制两个电机(风扇电机、压缩机)和PFC电路的空调室外机的设计指南、数据和其他内容。简易方框图特点AC 220 V输入的空调室外机控制电路 ...

全志R128 SDK HAL 模块开发指南——GPADC

GPADC模块介绍GPADC 是 12bit 采集精度的模数转换模块,支持 4 路通道,模拟输入范围 0-1.8v,最高采样率 1MHZ,并且支持数据比较,自校验功能,同时工作于可配置的四种 ...

DIY推荐!基于 ESP32 做的三舵机机械蚂蚁

蚂蚁是一种神奇的生物,它们需要很少的资源,却能做很多的事情。一般来说,许多六条腿的机器人每条腿最多需要三个舵机,那么总共就需要 18 个舵机,这会消耗了大量的能 ...

一款基于ESP32的情侣互动小电视,如何含蓄地告诉你对象你想她了?

都说工程师里面直男多,但工程师浪漫起来就没小鲜肉什么事儿了。今天社长带来的是一款基于ESP32的情侣互动小电视:具备天气时钟、恋爱纪念日及情话显示、想你啦互动等功能 ...

Altium Designer各种库(元件库、3D库、集成库和原理图库),一起打包带走~

本资料为Altium Designer各种库资料合集,方便各位工程师下载使用,查漏补缺,资料整理不易,赏个留言呗~以下为资料部分截图:一键分析设计隐患,首款国产PCB ...

《机器人设计与实现》——低成本DIY机器人,一本机器人制作的经典入门书

`内容简介《机器人设计与实现》是机器人制作的入门书。《机器人设计与实现》的作者根据多年来教学科研的实践和体会,运用简明易懂的语言全面地讲述机器人的制作技术。全 ...

OpenHarmony4.1Release无法运行debug应用问题分析

1 关键字debug; 4.1Release; 应用;2 开发环境系统版本: 4.1-ReleaseDevEco Studio版本: 4.0 Release (build:4.0.0.600)SDK版本: 4.0.10.13(及以上)设备型号 ...

一文带你了解PWM原理、频率与占空比

什么是PWM脉冲宽度调制(PWM),是英文“Pulse Width Modulation”的缩写,简称脉宽调制,是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在 ...

信号发生器给采样电路输入正弦波,输出的正弦波有畸变,在零点处保持一段为0,并且幅值有衰减,搞不清楚原因?

测试采样电路,用信号发生器在R65和R67两侧加上有效值为4V的正弦波,R65和R67分压输出的IL-A-T在零点处有一段一直为0,并且此时用示波器测量两个电阻两端电压有效值衰减到1 ...

电源保护电路系统的设计与制作 有大哥帮帮忙嘛

电源的输出指标:为了方便在实验室做各种电路实验,实验室电源系统应具有如下的功能:输出+12V,-12V,+5V固定电压的直流稳压电压源;输出输出电压从1.25V到12V可调的直 ...

鸿蒙原生应用开发-ArkTS语言基础类库多线程TaskPool和Worker的对比(二)

TaskPool运作机制图1 TaskPool运作机制示意图TaskPool支持开发者在主线程封装任务抛给任务队列,系统选择合适的工作线程,进行任务的分发及执行,再将结果返回给主线程。 ...

【紫光同创盘古PGX-MINI-4K教程】——(盘古PGX-MINI-4K开发板/PGC4KD-6ILPG144第二章)LED 流水灯实验例程

适用于板卡型号:紫光同创PGC4KD-6ILPG144开发平台(盘古PGX-MINI-4K)仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA! ...

5361之PWM之CMP更新慢至1秒,请各位有经验的高手帮忙!

大家好,我把官方pwmout的例子中心对齐那个函数改成了100KHz,原来是200Hz,又把死区时间改成50,因为原来1600的死区太长,超过了100KHz的周期,之后程序顺利运行,示波器 ...

BUCK BOOST 升压电感电容计算 附件下载

BUCK BOOST 升压电感电容计算  附件下载    梯形波有效值计算

使用PWM输出方式驱动有刷直流电机:PWM驱动的原理

从本文开始,将介绍有刷直流电机的PWM驱动。近年来,直流电机的PWM驱动方式因其可以减少驱动器电源的功耗而应用越来越广泛。使用PWM输出方式驱动有刷直流电机:PWM驱动的 ...

新手入门的简单小例子-05-01

准备基于FPGA使用verilog HDL设计一个数字时钟,时间24小时、60分钟、60秒钟的计数;首先默认我们的板载有源晶振为50MHz的时钟,然后我们先设计一个秒钟的计数模块:modul ...

关闭

站长推荐 上一条 /6 下一条